CPUアーキテクチャについて語れ 16at JISAKU
CPUアーキテクチャについて語れ 16 - 暇つぶし2ch312:MACオタ
09/12/23 11:38:27 FLB/nvDP
来年のISSCCでPOWER7の詳細が複数の論文で公開されることは周知の通りです。
URLリンク(www.isscc.org)
  ------------------------
  5.4 The Implementation of POWER7): A Highly Parallel and Scalable
    Multi-Core High-End Server Processor
  5.5 A Wire-Speed Power Processor: 2.3GHz 45nm SOI with 16 Cores and 64 Threads
    (MACオタ注: おそらくPOWER6 - Z10の関係に対応するメインフレームプロセッサ)
  9.3 POWER7 Local Clocking and Clocked Storage Elements
  19.1 A 45nm SOI Embedded DRAM Macro for POWER7 32MB On-Chip L3 Cache
  19.2 A 32kB 2R/1W L1 Data Cache in 45nm SOI Technology for the POWER7 Processor
  ------------------------
今年のまとめとして、Hot Chips 21以来公開された資料からPOWER7についての技術情報を
書いてみます。

313:MACオタ@続き
09/12/23 12:02:21 FLB/nvDP
Hot Chipのプレゼン自体はまだ公開されていませんが、Power.orgが公開している
このプレゼンがKalla氏の講演とほぼ同じものであるようです。
URLリンク(www.power.org)
 ・1.2 Billion transistors
 ・45nm, Cu-SOI, ダイサイズ: 567mm2
 ・2 FXU, 2 LSU, 4 FPU, 1Vector Unit, 1 Branch Unit, 1 Condition Register Unit,
  1 Decimal FPU
 ・6-wide dispatch / 8-wide issue
 ・ 1, 2, 4-way SMT
 ・OoOE
 ・32KB L1-I, 32KB L1-D, 256KB L2 per core
 ・ 8-core + 32MB shared eDRAM L3
 ・up to 32-socket
 ・コア性能向上
  - パイプライン改良
  - L1レイテンシ低減
  - L2とコアを緊密化
 ・チップ性能向上
  - 2-core (POWER6) -> 8-core (POWER7)
  - コア間インタコネクト高性能化
  - off-die eDRAM L3 (POWER6) -> on-die eDRAM L3 (POWER7)
  - Dual DDR3対応メモリコントローラ
 ・省電力機能
  - Power Gating 採用
  - Dose - Nap - Sleep
  - DVFS (Dynamic Voltage and Frequency Slewing)
  - Turbo-Mode (up to 10% frequency boost)
最終ページのプロセッサモジュールの写真は、明らかに>>274あたりのBlue Waters向けの
モノとは異なっているようですから、一般サーバー向けの開発もすすんでいるようです。

314:MACオタ@続き
09/12/23 12:20:17 FLB/nvDP
Power.orgが公開している別の資料にも興味深い記述があります。
URLリンク(www.power.org)
  (p.11)
  ----------------------
  The new POWER7 Core has a total of 18 execution units, including two
  fixed point pipelines bit aligned to the two LSU pipes.
  ----------------------
資料ごとにPOWER7の実行ユニット数の表記は異なっているのですが、>>313でも書いたような
12個という表記が多く、"two fixed point pipelines bit aligned to the two LSU pipes"というのは
FXUのことではなく、LSUにx86のAGU相当のアドレス演算用整数演算ユニットが追加されたもの
と思われます。
その他の追加情報は下記の通り
 ・11 levels of metal layer
 ・L3はコヒーレンシトラフィック低減のためのディレクトリとしても機能する

315:,,・´∀`・,,)っ-○○○
09/12/23 12:40:58 P04lSfD3
>x86のAGU相当のアドレス演算用整数演算ユニット

別モノだよ。
そもそもx86相当のアドレッシングモード自体がないのでAGUのような仕組みは原理的に無理。
ModRM以降の可変長フィールドを解決するものだが、あれは形式にもとづいてアドレス生成専用に
カスタマイズされている。

一方POWER ISAはアドレス演算も通常の整数演算も同じ命令で区別がない。
依存関係を走査すればできなくもないけど、コストがかかりすぎる。
Load/Store + アドレスインクリメントの複合命令ならあるがそれを分解せずに実行するのが一番コストかからんでしょ


逆にx86のアドレッシングモードはポインタをインクリメントしない。
「ARM相当」の間違いでしょ。


316:MACオタ@ここまで
09/12/23 12:54:13 FLB/nvDP
IBMがセミナー資料として公開しているJ. M. Tendler氏のプレゼンのいくつかのバージョン
がネット上で見つかりますが、POWER7のキャッシュ/メモリ階層と、コヒーレンシ維持機構
について詳細に記されています。
URLリンク(www.ibm.com)(Presented+to+Philadelphia+Users+Group,+2009-11-17).pdf
 ・メモリ
  - デュアル・メモリコントローラ
  - メモリコントローラとDIMMの間には"Advanced Buffer Chip"を挟む
   (POWER4以来の"SMI"チップと同じ)
  - メモリコントローラとバッファ間は8-chの高速リンク
   差動インターフェース採用、6.4GHz, 28-byte (total?)
  - DDR3、800, 1066, 1333, 1600MHz サポート
・eDRAM L3 (32MB)
  - ローカル接続メモリの1/3のレイテンシ
  - 単一スレッドが全領域を占有する設定も可能
 ・"Fast Local" L3
  - L3の一部 (up to 4MB)を低レイテンシの高速領域として利用可能
  - 通常L3の1/5のレイテンシ
 ・L2 "Turbo" キャッシュ
  - L2はコアと緊密に結合
  - 上記の『ローカル』L3の1/3のレイテンシ
 ・キャッシュ階層
  L1: write-through, L2: write-back, Local L3: partial-victim, Shared L3: adaptive
 ・メモリコヒーレンシ
  - Global Coherence Throughput: 32GB/s (POWER6) -> 450GB/s (POWER7)
  - 『投機的』コヒーレンシ通信
  - 複雑な共有状態を表現する13レベルの共有ステータス

書き忘れましたが、統合eDRAMに関してSOIを利用したFBC (Floating Body Cell)との
観測もありましたが、トレンチ構造とのこと。
URLリンク(journal.mycom.co.jp)
  ----------------------
  このDRAMはDeep Trenchキャパシタを使用するタイプのものであり、SOIのBox
  (Buried Oxide)層を取り除いてその下のシリコンバルクに深い溝を掘り、その溝の
  側面に情報記憶用のキャパシタを作る。
  ----------------------

317:MACオタ>団子 さん
09/12/23 13:34:48 FLB/nvDP
>>315
  ----------------
  Load/Store + アドレスインクリメントの複合命令ならあるが
  ----------------
"update"オプションのつくlwzu/stwuのような命令以外にも、FXUリソースを必要とする
命令はある様なのです。
gccの最適化ファイルが実行ユニットの内部構造の推測にどの程度役に立つかは謎ですが、
下記は power4 の最適化設定ファイルです。
URLリンク(gcc.gnu.org)
単純ロード (power4-load) 以外は、整数演算リソース (iuX_power4) を必要とするのが
判るかと…

318:Socket774
09/12/23 15:41:42 mVZUFjqa
>>312
5.5って "Power Processor" って書いてあるけどz11のことなの?

319:,,・´∀`・,,)っ-○○○
09/12/23 15:59:33 P04lSfD3
URLリンク(www.hpcuserforum.com)

こっちにダイフォト載ってるよ

320:MACオタ
09/12/23 17:03:11 AbeI9a02
>>318 さん
  -------------------
  5.5って "Power Processor" って書いてあるけどz11のことなの?
  -------------------
言われてみると、Z10も実行ユニットの構成はPOWER6と同じでもISAはPOWERじゃないですね。
勘違いだったかも。
でも他にこのプロセッサの使い道って何なんでしょう?

>>319 団子 さん
そのプレゼンのダイ写真は、>>313>>316に含まれている写真とどこか違いますか?
資料を読まずに脊髄反射で書き込む癖はヤメた方が良いかと…

321:Socket774
09/12/23 18:27:19 JIXOi7Oo
>>257
URLリンク(www.youtube.com)
既に京都議定書にしたがって都合の悪い時だけ自称発展途上国の中国に金を搾り取られているらしい。

322:Socket774
09/12/23 20:43:25 98b6+k8K
>>320
> でも他にこのプロセッサの使い道って何なんでしょう?

wire-speedってんだからネットワークプロセッサなんじゃね?

323:MACオタ>322 さん
09/12/23 20:48:45 AbeI9a02
>>322
  ----------------
  ネットワークプロセッサ
  ----------------
それってボッタくりIBMが最新45nm SOIプロセスで製造した、ダイサイズ400mm2超の
チップが売れる市場なんでしょうか?
FreescaleのG4クラスの製品が強い分野だったような…

324:Socket774
09/12/23 22:37:07 98b6+k8K
うーん、確かに
謎だね

325:Socket774
09/12/23 23:28:56 98b6+k8K
あとはメインフレームのI/Oプロセッサかな
それなら、ある程度値が張っても大丈夫じゃないか?

POWER7よりダイが小さいのにコア数が倍になってるから
メインフレームのCPUってことはないと思う

326:MACオタ>325 さん
09/12/23 23:52:01 AbeI9a02
>>325
  --------------------
  POWER7よりダイが小さいのにコア数が倍になってるから
  --------------------
この辺はPOWER7に限らず、近年のハイエンドプロセッサはアンコア部の面積が大きいので
証拠とは言えないかと。コア自体もメインフレーム向けはVSXが削除されている可能性が
あります。

327:Socket774
09/12/24 04:35:03 gp4aonOu
>>323
クロック控えめでスレッド数も多いし、100GbE用のネットワークプロセッサじゃないの

328:Socket774
09/12/24 20:32:48 +M6CLSPx
IOP纏めてあるサイトってない?

329:Socket774
09/12/25 00:39:17 qiTmHfRD
ネットワークプロセッサーの意見に賛成.
16core 64Threds 2+ GHz ってスペックが
いかにもCaviumのOcteonや旧RMIのNetLogicのXLPの豪華版という感じだ…
# Octeon CN5860が 16core,XLP832 が 8core 32Threds.共に MIPS64

MACオタの言う「ボッタくりIBMがチップ売れる市場」と言えるか謎だけど
ハイエンドの Octeon だと$500~$1000 ぐらい.
URLリンク(www.en-genius.net)

あるいはSun Niagara的な特定用途に強いサーバー用プロセッサーとか?


330:Socket774
09/12/27 21:30:01 WIlOSEOi
x86のμOpsで直接プログラムが書ければいいのに

331:Socket774
09/12/27 21:37:02 Gukhnjyu
メモリウォールに自分で激突するのがお好き?

332:,,・´∀`・,,)っ-○○○
09/12/28 00:46:38 TJ8Uq2yz
>>330
VIAと契約すれば?

333:,,・´∀`・,,)っ-○○○
09/12/28 00:48:53 TJ8Uq2yz
最近はx86命令セットは高級言語だと思うようにしている。

334:Socket774
09/12/28 18:16:47 KTq6oDv5
確かにw

335:MACオタ
10/01/02 20:48:08 i14xgP37
安藤氏の年頭のコラムが出ています。
URLリンク(journal.mycom.co.jp)
  -------------------
  安いからという理由で米国製のスパコンを買うことは、まんまと米国の策略に載るものである。
  -------------------
一見もっともらしい言い分ですが、スパコンを開発することとプロセッサを開発することを意図的に
混同して誤魔化してますね。
ここの皆さんは周知のように、昨年下期のTop500でトップを取ったCrayにしてもプロセッサは他社
製なわけで…

336:MACオタ
10/01/02 21:19:47 i14xgP37
スパコン利権とは全然関係なく、『日本出身』アーキテクチャであるCELL/B.E.はHPC市場で
活躍を続けています。今回紹介するのは、HPCにおける電力効率ランキング"Green500"で
上位を独占するヨーロッパ開発のCELLベース・スパコンQPACEの話。

今年のGreen500ランキングはこちら
URLリンク(www.green500.org)
ご覧の通り同成績で1位にランクされている3システムは全て QPACE SFB TR Cluster です。

URLリンク(www.fz-juelich.de)
このプレゼンは今年初めのものでやや古いですが、アーキテクチャの概要を述べてあります。
QPACEの1ノードは、p.9のブロック図のようにシングルプロセッサのPowerXCell 8iとFPGA製の
ネットワークチップで構成されます。
Roadrunnerとは違ってプロセッサはCELLのみで構成されているのが興味深いかと。
ネットワークは京速と同じく3Dトーラス。

秋のSC09 (Top500でのお披露目)以降の資料はこちら。
URLリンク(www.fz-juelich.de)
URLリンク(www.desy.de)
性能評価や、FPGAの構成などが述べられています。

337:MACオタ
10/01/02 21:38:31 i14xgP37
QPACEは間違いなく『ヨーロッパ製スパコン』と言えるかと思うのですが、プロセッサ
は日本+米国の製品であることは注目に値するかと思います。米国だってRoadrunner
にCELL/B.E.の技術を使うことに躊躇はありません。

HPC方面ではとっくにコア性能の争いよりマルチプロセッサでのスケーラビリティやら
インタコネクトやらが主戦場になっています。
>>335でも触れたように、Crayが一般向けx86プロセッサをコアに使って世界一のスパ
コンを製造し、果てにはあっさり次世代ではAMDからIntelに乗り換えるというのも、そう
した流れの上にあります。
URLリンク(japan.internet.com)
  --------------------
  なお今回の提携は、Cray の『Cascade』プラットフォームから Intel が技術製品を
  提供するというものだ。Cascade は医学や物理学などの複雑な問題を解くために
  用いられる。
  --------------------
そういう意味で、『スパコン開発=ハイエンドプロセッサ開発』というすりかえをやっている
輩は信用できないことが良く判るかと…

そうは言っても、日本発のプロセッサであるCELL/B.E.を評価したのは欧米であって、
日本国内では叩きに余念が無いヒトが未だにいるというのも、ある種興味深い現象で
あったりするわけです(笑)

338:Socket774
10/01/02 21:44:45 4a1yIVtB
>>337
んなこと言っても、この板にある某スレだって
「たくさん繋げるだけだろ」的な連中がいる事も事実。
膨大な数繋げて性能だす事はとても大変で難しい事なのだが…。

339:Socket774
10/01/03 10:08:25 7HLiLGwn
日本で叩いてるのは勉強したくない技術者。
スパコンからハンディ機まで(電力)性能向上にマルチコアはどう考えても不可避なのに
どうしてもそのパラダイムから抜け出せないがゆえに叩いてクライアントの目から隠す。
団子は競争相手が追いついて来ないように叩きネタを日本語でばら撒いて煙に巻いてる変り種。
欧米のエンジニアは立派な大学出てて職の心配する必要ない、
あるいは競争の足止めたら食っていけないの判ってるからニューパラダイム受け入れるに易い。

340:,,・´∀`・,,)っ-○○○
10/01/03 15:40:14 os5qML5t
そこまでご立派なものじゃないよ。
というか、「今使える」ものを選ぶのは理に適った判断だろう。


341:レトリック君
10/01/05 03:09:21 utY/NQWE
>>339
モマエ、脳内だけで書いてるだろ。
並列度上げました、だから性能出るはずですみたいな幻想まに受けて、
使ってるとこ見たこと無いんだろな、修羅場だぞ。
二度と俺の目に付くところに現れるな。

342:Socket774
10/01/05 13:57:41 SimaXuVH
Cellで片付く問題なんてGPUより間口が広いだけでニッチにゃ変わりない
それを不勉強だとか罵ってるんだからただの精神論、最早オカルト
バカは放っとけばよい

343:Socket774
10/01/05 17:10:51 V0dHsAuL
>HPC方面ではとっくにコア性能の争いよりマルチプロセッサでのスケーラビリティやら
>インタコネクトやらが主戦場になっています。

って流れなのに>>341-342みたいな攻撃的弁護がでてくるあたり、
もう日本だめじゃね?Cell叩きがマルチコア叩きにまで発展しててさ。
数々のネガキャンが奏功したってところか。

344:Socket774
10/01/05 20:14:48 SimaXuVH
>>343
>HPC方面ではとっくにコア性能の争いよりマルチプロセッサでのスケーラビリティやら
>インタコネクトやらが主戦場になっています。

その流れでもMACキチは平気でCell擁護しちゃうから笑っちゃうよな
普通の人なら逆説的に「Cellである必要性なんて大して無いんだな」というごくありきたりな答えに辿り着くと思うよ

345:Socket774
10/01/05 20:46:34 on+xKnJH
現状Cellが丁度いいって話だろ現物あるし
しかしどーなってんだろこの働きたくないでござるな脳みそ
MACオタもMACオタならアンチもアンチだよ

346:Socket774
10/01/05 21:19:16 MvN9XxG2
手軽に速くできるなら、コアも速いに越したことはないしな
速いCPUなら、同じ性能なら使う数減らせる→そのぶん性能も出しやすいし接続も楽
また、同じ数使うなら当然性能は上がる。

347:Socket774
10/01/05 22:18:03 lGpud3MX
POWER無敵

348:MACオタ
10/01/06 02:10:02 6srrhf1b
日経BPが今回の事業仕分けに参加した金田教授のインタビューを掲載しています。
URLリンク(itpro.nikkeibp.co.jp)
  --------------------
  世界最大のスパコンの国際会議「Supercomputing2009(SC09)」でもIBMの
  POWER7ベースと富士通のSPARC64 VIIIfxベースの基板が展示されていた
  ようだが、技術が分かる人が見れば富士通劣勢は明らかであると分かったはずだ。
  --------------------
これって安藤氏がMYCOMに投稿したBlue Watersと京速の比較記事に対する痛烈な
皮肉になっているような気が…
URLリンク(journal.mycom.co.jp)
  ====================
  POWER7はチップあたり200Wで256GFlopsであるが、富士通は58Wで128GFlops
  であり、富士通の方が約1.7倍、電力効率が良い。
  ====================
Green500の結果あたりが楽しみですね。

349:Socket774
10/01/06 03:17:50 sXSMFjGi
スパコンというよりコンピューター研究予算であの程度あってもいいよ。
副産物の方が重要だからなこの場合。税金でなく企業が金出したケースがSCEだが、
案の定国内の足並み総崩れだしね。評価したのは欧米。
久多良木も日本では総スカンされるのわかっててIBMと手を組むのにやぶさかでなかったのだろう。
米国なら官民問わず研究予算はものすごい額になってるだろう。

350:MACオタ@続き
10/01/06 03:22:01 6srrhf1b
ちなみに上の記事、金田教授のこの指摘は興味深いかと。
  ----------------
  恐れるべきは、マスコミがよく比較するかつて地球シミュレータの計算速度を
  抜いたIBM製「BlueGene」の後継機である20ペタFLOPS級の最新鋭機ではなく、
  POWER7を搭載したIBMが真に本腰を入れて開発する汎用性の高い最新鋭機
  なのである。
  ----------------
POWER7は米国防総省のプロジェクトHPCS (High Productivity Computing Systems)
において、5社 (Cray, HP, IBM, SGI, Sun) -> 3社 (Cray, IBM, Sun) -> 2社 (Cray, IBM)
と3段階の競争試作で勝ち残ったシステムです。
URLリンク(www.darpa.mil)
HPCSの目標設定には『economically viable』の条件がつけられており、フェーズ間の
中間評価でも商業応用を強く意識して実験的なアーキテクチャは排除されたという
話も伝えられています。

偶然なのか真似たのかは判りませんが、京速プロジェクトの方もHPC専用というよりは
そのままSUN互換サーバーに使えそうなSPARC64 VIIIfxをプロセッサとして選択して、
やはり商用サーバーへの採用も狙っているようです。
IBMと正面からぶつかって勝つ算段があるのか、はたまた富士通一社のプロセッサ開
発を助成しただけなのかも見守るべきなのかもしれません。

351:Socket774
10/01/06 03:25:30 sXSMFjGi
というわけで自説の為に公開処刑狙ってるだけな気がする仕分け人には反対。
処刑した後、類似予算も立ち上げにくくなる。そこまで考えてないだろうな。
原資はどうあれもうちょっと研究関連職潤ってもいいと思うんだ。
日本がここまで消極的なのは貧乏性が故かもしれないし。上の無理解はどこだって変わらないよ。

352:MACオタ>レトリック さん
10/01/06 03:27:04 6srrhf1b
>>341
  -----------------
  二度と俺の目に付くところに現れるな。
  -----------------
他人の掲示板で中二病的に粋がる、この手のカキコミを久しぶりに見たような(笑)

353:MACオタ>351 さん
10/01/06 03:30:37 6srrhf1b
>>351
  -----------------
  研究関連職潤ってもいいと思うんだ。
  -----------------
総枠は増えませんから、京速に投入された予算の分だけ他の研究が割を食う
というのが世の道理なんですが?

354:Socket774
10/01/06 03:34:02 V23zueww
総枠は増えません(キリッ
賢しい…

355:Socket774
10/01/06 03:34:14 sXSMFjGi
ロケット予算も日米比べた場合むこうは国際戦略上の予算だから仕方ないが
確かNASAと比べ日本は人的、資金的にも1/20程度と聞いた事がある。
官だの民だの拘ってる場合じゃないっていう。

356:Socket774
10/01/06 03:36:45 sXSMFjGi
>>353
金は天下の回り物だよ。
ともかく総量が少なく枯渇してるせいで取り合い、
ねたみ合いになるのだと思う。

357:Socket774
10/01/06 12:41:27 LFZP4Sb3
MACヲタはPowerPCになるとハッスルハッスルするなw

358:Socket774
10/01/06 22:57:09 QdfZ+jlU
ほんとIBM大好きなんだから

359:Socket774
10/01/08 21:30:05 syqWnIgs
IBMオタに改名するベキダ

360:Socket774
10/01/09 07:15:48 1Hj9SiNW
URLリンク(gigazine.net)

361:Socket774
10/01/09 10:29:25 gSY2WyGA
これがDSに載るの?

362:Socket774
10/01/09 11:56:19 5ypPQ+Ot
スマートフォン市場ではSnapdragonとの戦いに勝てそうにないな

363:Socket774
10/01/09 16:59:57 Tp8xxeNS
保守age

364:Socket774
10/01/10 20:13:46 iI2ZPkS7
本当の意味で集積回路だからな>モバイル

365:Socket774
10/01/11 20:21:45 lOdJqdSC
何となく気になったので聞いてみるけどGPUでよく聞くFMADと
Bulldozerのブロック図で見たFMACってのはまったく違うもの?

366:MACオタ
10/01/11 22:12:02 sd9ZhS4B
NvidiaのFermi、なんとか量産に漕ぎ着けたようで…
URLリンク(journal.mycom.co.jp)
  -----------------
  この日のイベントはTegraが主役だったが、最後にHuang氏は「NVIDIAのイベントは
  GeForce抜きでは終われない」と、"Fermi"アーキテクチャを採用した「GF100」につ
  いて語り始めた。
  現在、量産段階に入っており急ピッチで生産が進められているという。
  -----------------

367:Socket774
10/01/11 22:24:02 oSx/Cnm7
URLリンク(www.4gamer.net)
同システムは,ご覧のとおりの液冷仕様だが,近づくとものすごい熱を放っていたのが印象的。
PCケースベンダーやOEM関係者が,「NVIDIAの次世代GPUでは,冷却が最大の問題になる」
と口を揃えていた理由がたいへんよく分かるシステムでもあった。

368:Socket774
10/01/11 22:25:53 WT7YPvZF
キャッシュ搭載でようやくまともにshaderが使い物になるな

369:Socket774
10/01/12 03:00:03 hbd0bfPI
>>362
URLリンク(pc.watch.impress.co.jp)

370:Socket774
10/01/12 04:34:21 qNwl3IVW
だがx86ではない

371:Socket774
10/01/12 11:35:07 EfczRBzQ
いいことです

372:Socket774
10/01/12 11:45:10 aeiQwsAS
と思っているのは馬鹿だけです

373:Socket774
10/01/12 12:05:29 8SnHaKjf
Tegra2ってスマートフォン向けとは誰も言ってないよね
タブレット型デバイス向けみたいな事は言われてるけど

374:Socket774
10/01/12 12:19:30 EfczRBzQ
スマートフォンだったらHDムービーの再生は、やるとしても間引き映像だろうしな

375:Socket774
10/01/12 19:36:53 b7/PzBqo
昔話題になったNC辺りか

376:MACオタ
10/01/14 08:16:04 k6CkydoL
次世代Blue Geneである"Sequoia" (BG/Q)の情報が少し出てきたような…


377:Socket774
10/01/14 19:55:53 5zKxy5xD
>>376
どんな感じですか?

378:MACオタ>377 さん
10/01/14 21:57:43 Qfwj0uW3
>>377
検算してみたら、大元のプレスリリースの数字から簡単に導出できる程度の情報でした。
書かなくて良かった…

379:Socket774
10/01/14 22:42:38 5zKxy5xD
>>378
野心的な目標値に思えるのでいったいどんな物が出てくるか興味深いです。

380:MACオタ
10/01/15 20:40:57 B+xNc+Fe
Digitimesがグラフィックカードベンダ筋から拾ってきたFermiの状況です。
発表は3月だが、4月までは入手難らしいとのこと。
URLリンク(www.digitimes.com)
  ----------------------
  Nvidia may see drop in global discrete graphics chip market share in 1Q10
  Monica Chen, Taipei; Joseph Tsai, DIGITIMES [Thursday 14 January 2010]

  Nvidia is expected to see its share of the global discrete graphics chip market
  drop from 65% in 2009 to 60% or even lower due to strong competition from AMD,
  according to sources from graphics card makers.

  Nvidia has refuted the claims saying it expects to see strong demand.

  Although Nvidia plans to launch its 40nm Fermi-GF100 graphics chip in March
  2010, mass shipments are unlikely to start until April, the sources noted. Nvidia
  responded saying its launch schedule remains unchanged.

  On the other hand, AMD has already launched its DirectX 11-supporting 40nm
  ATI Radeon HD 5970, 5870, 5850 and 5750 GPUs and will launch HD 5670, 5570
  and 5450 shortly. The company recently claimed to have shipped a total of two
  million DirectX 11-capable GPUs.
  ----------------------

381:MACオタ
10/01/15 20:44:41 B+xNc+Fe
上記に関連して、TSMCの40nmプロセスの歩留まりが上がらないという記事を
同じくDigitimesが数日前に掲載しています。
魚拓のリンクはAMD次世代スレッドのこちら。
スレリンク(jisaku板:91番)
  -----------------
  Foundry chipmakers, including Taiwan Semiconductor Manufacturing Company
  (TSMC), have been struggling to increase their yields on 40nm to over 70%,
  according to industry sources. The unsatisfactory yield rate has caused
  production for next-generation graphics processors and FPGA (field-
  programmable gate array) chips to run tight.
  -----------------

382:MACオタ
10/01/16 20:10:48 wq4dS+HM
Fermiの歩留まりですが、もう少し詳しい情報が台湾HKEPCより。
URLリンク(www.hkepc.com)
  ----------------------
  但近日外間據消息指出,目前 Fermi 繪圖核心的實際良率僅有約 20 %
  ----------------------
 ・Fermiの歩留まりはおよそ20%程度
 ・このため、3月に発表されても供給状態がまともになるのは4月
 ・一方、AMDのHD5000シリーズの歩留まりは60-80%程度

383:MACオタ
10/01/16 20:46:10 wq4dS+HM
富士通/SUNより発売されたばかりのSPARC Enterprise M3000
(Quad-Core SPARC64 VII/2.75GHz x 1)のSPEC2006が登録され
ています。
URLリンク(www.spec.org)
似たような動作周波数のx86シングルソケットシステムとの比較は下記の通り。

 (base/peak)       int       fp       int-rate    fp-rate
SPARC64 VII/2.75GHz 13.6 / 14.8  15.2 / 15.9  45.4 / 49.1  38.1 / 40.4
Xeon W3520/2.6tGHz  27.4 / 30.7  32.5 / 33.8  94.1 / 101  74.4 / 77.8
Opteron2384/2.7GHz  17.4 / 21.0  19.5 / 21.5  56.9 / 67.7  53.2 / 59.7

クロックだけはx86並になっても性能はも一つの様で…

384:MACオタ@続き
10/01/16 20:55:49 wq4dS+HM
上のカキコミの参考リンクです。
■ SPARC64 VII/2.75MHz (富士通 SPARC Enterprise M3000)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)

■ Xeon W3520/2.67GHz (富士通 CELSIUS M470)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)

■ Opteron 2384/2.7GHz (HP ProLiant DL165 G5p)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)

385:Socket774
10/01/18 18:24:31 aQpiTUrI
SPARC64 VII/2.75MHz→2.75GHzだね
SunとFujitsuは同じ物?

386:Socket774
10/01/19 01:07:33 rKHsCX/F
Xeon(Nehalem-EP)とOpteron(Istanbul)で
組んだので簡単な性能測定結果。評判のNehaってこんなもの?
これならXeon E5540とOp 2431で似たようなモノで、Opのが安い。

Sandra 2009 SP3 Benchmark Result on WinXP-Pro SP3
======================================================
CPU   XEON E5540   OPTERON 2435  C2Q Q6600
Core   2x 4core+HTT  2x 6core       1x 4core
TDP    2x 80W      2x 75W        1x 105W
Clock    2x 2.53GHz    2x 2.60GHz     1x 2.40GHz
Memory 6xDDR3-1066R  4xDDR2-800R   4xDDR2-800
M/B    Super X8DT3   Tyan S2927E    Dell 755
ChipSet  Intel 5520     NFP3600      Intel Q35
------------------------------------------------------
Int.    132GIPS     106GIPS      37GIPS
F.P.    119GFLOPS   102GFLOPS   29GFLOPS
1'Cashe  419GB/s    485GB/s     201GB/s
2'Cache  258GB/s    307GB/s      33GB/s
3'Cache   91GB/s     81GB/s       (Non)
Memory  13.9GB/s    20.0GB/s     4.7GB/s
FP*Mem  1654      2040      174
------------------------------------------------------
MM-Int   239MPix/s    284MPix/s    80MPix/s
MM-FP   192MPix/s    134MPix/s    50MPix/s
MM-Dbl   100MPix/s     73MPix/s    25MPix/s
Cording  761MB/s     1000MB/s     364MB/s
------------------------------------------------------
FileSystem NTSC by LSI_MegaRAID-SAS_RAID5 (4xSATA)
・R.Read  66MB/s      62MB/s      ----
・R.Write  32MB/s      60MB/s      ----
・S.Read  123MB/s     340MB/s      ----
・S.Write  48MB/s     270MB/s      ----
======================================================


387:Socket774
10/01/19 01:08:51 rKHsCX/F
NehalemはInt.とF.P.は速くて、キャッシュは少し遅いだけだから、
キャッシュ内で完了する処理なら「速い」とは言えるし、MultiMedia
のF.P.と倍精度は3割も速い(逆にCording/暗号化は遅い)。

でもメモリーが絡むとガックリ遅くなる。DDR3-1066MHz×3chで
理屈の上ではOpteronのDDR2-800MHz×2chより速い筈なのに。
これなら今回組んだOp.2435(2.6GHz)の下のOp.2431(2.4GHz)で
メモリーが絡む大半の処理では、ほぼ同等性能になると読める。

そんな記事見たことないから質問してみた次第。
Super X8DT3の設定でも狂っているのかな?

これが設定ミスでないなら、OpteronのIstanbuleはNehalemに
負けてないって事になる。・・・・・詳しい人、教えて下され!

388:Socket774
10/01/19 01:09:38 rKHsCX/F
528 名前:Socket774[sage] 投稿日:2010/01/01(金) 12:22:44 ID:lEhCwdPM
TOP500だとNehalem-EPとBarcelonaでコア辺りのクロック性能同じ位だね。
参考になるのかどうか判らないが。
でも実質Intel専用ベンチのSandraで差が付かないってのは凄いな。


389:,,・´∀`・,,)っ-○○○
10/01/19 02:40:36 V4ouHagw
LINPACKってFP演算ユニットの並列度×クロック数が素直に現れるぞ

390:MACオタ>386-388 さん
10/01/19 02:52:40 Xfz8gXKr
>>386-388
コピペなのは存じ上げていますが、検索してみるとあちこちに貼られているようですので
回答しておきます。
  ---------------------
  でもメモリーが絡むとガックリ遅くなる。
  [中略]
  そんな記事見たことないから質問してみた次第。
  ---------------------
流石にSandraは有名ベンチマークですから同種の記事は検索すれば簡単に見つかります。
URLリンク(www.bit-tech.net)
こちらの"unbuffered memory test"は、その一例ですね。それでも注目すべきなのは
メモリレイテンシでOpteronを圧倒している点です。たしかアム虫さんってPentium4は帯域番長
とかで、AMD製品はメモリレイテンシが優秀だから速いって主張していたのでは?

実はメモリ帯域の方も測定法で大きく異なる様で、同じbit-tech.comのistanbulベンチでは
こういう結果が(笑)
URLリンク(www.bit-tech.net)
bit-techの言い訳はこちら。
  --------------------
  We started by retesting the Xeon W5580, as a new version of Sandra, which supports
  Intel's implementation of NUMA, has been released since our original review. These
  new results show that the Xeon W5580 system has significantly more memory bandwidth
  and lower latency than either Opteron system - an important consideration if you're
  running lots of apps together such as a server used to power multiple virtual machines.
  ---------------------

まあ結果を推敲せずに、脳内妄想に一致するとコピペしまくるアム虫さんの習性ががGoogleの
検索結果に晒されただけ…というのが結論ですか。

391:Socket774
10/01/19 18:10:16 L68f3Acx
WinXPってNehalemのNUMAに対応してたっけ?

392:MACオタ
10/01/20 19:46:22 sipYmIQS
今日はTheRegisterより目ぼしいニュースが二つ。
まず、IBMの2009Q4業績の電話会議でIBMのCFO, Mark Loughridge より POWER7
のリリース時期が示されたとのこと。
URLリンク(www.theregister.co.uk)
  -----------------------
  "Later [in Q1], we'll introduce the next generation Power Systems, which will
  deliver two to three times the performance, in the same energy envelope,"
  Loughridge told the assembled Wall Street multitudes on Tuesday.
  -----------------------
 ・今四半期中にPOWER7製品が発表される
 ・45nm CPUプロセスの立ち上がりは順調で、65nm世代より5ヶ月は短かった。
 ・今年中にPOWERサーバーはPOWER7世代に更新される

393:MACオタ
10/01/20 20:09:11 sipYmIQS
もう一つは龍芯3号を使った中共の国産スーパーコンピュータ 『曙光 6000』が
今年完成予定とのこと。
URLリンク(www.theregister.co.uk)
  ---------------------
  Weiwu Hu, chief architect of the Loongson processors developed by ICT, told
  Technology Review that the future Dawning 6000 super, presumably based on
  the quad-core Loogson-3 MIPS-style processor, would be finished by the middle
  of this year and operational by the end of 2010.
  ---------------------
元ネタは MIT Technology Review のこちらの記事。
URLリンク(www.technologyreview.com)
概要は次の通り。
 ・昨年登場予定が今年に遅れた
 ・量産版マスクのテープアウトは昨年12月末。STMicro にて量産開始予定。
 ・遅延した分、65nm世代で8-16コアバージョンが出てくるかもしれない

噂のx86エミュレーション機能に関しては、TheRegs の Morgan 記者によると、IEEE Micro
に掲載された論文、
URLリンク(www.computer.org)
には、x86エミュレーション支援命令の追加によりネイティブコードの70%程度性能で
x86コードが実行できるという記述があるとのこと。

394:MACオタ
10/01/20 20:47:31 sipYmIQS
IBMの昨年第4四半期の業績ですが、CELL/B.E. と Xbox360 CPU の設計サービスで
がっつり稼いだ2006年以来、長期低落が続いていた Microelectronics 部門がちょっと
上向いたとのこと。
URLリンク(www.theregister.co.uk)
  ---------------------
  On the Microelectronics front, chip sales were up 2 per cent in the quarter,
  and Loughridge said that the 300mm wafer baker in East Fishkill, New York
  was nearing full utilization and that 45 nanometer output was sold out again
  this quarter. No doubt some of that wafer baking capacity is being pressed
  into action to crank out Power7 chips and probably the z11 mainframe engines
  too. ?
  ---------------------
最後の一節は Morgan 記者の推測に過ぎませんが、45nm ラインもフル操業体制に
なっているとか。

395:MACオタ
10/01/23 16:14:50 ebeYPP2S
牧野教授の『スーパーコンピューティングの将来』が今月は怒涛の更新ですね。
最新はコレですが、スーパーコンピュータ関係のスレッドでまた怒り狂うネトウヨ
さんが現れそうな…
URLリンク(www.artcompsci.org)
  --------------------
  そういう見積もりをもってくるのは、そういうので買ってくれる人がいるからですから、
  買うほうに問題がある、ということではあります。国立大学の計算機センターや、
  理研の次世代にしても結局は同じ問題、というところもあります。ハードウェアの思想
  が15年遅れ、というだけではなくて、計算機を買う側の意識が、計算機というのは大変
  高価なものであるという 30年くらい前の意識のままである、ということです。
  --------------------

396:MACオタ
10/01/23 16:52:14 ebeYPP2S
ここのところしばらくカキコミしていなかった間の POWER/PowerPC 関係の大きな
ニュースは IBM の新組込コア "PowerPC 476FP" です。
URLリンク(www-03.ibm.com)
 - LSI との共同開発
 - 1.6GHz @ 45nm SOI
 - 1.6W, 3.6mm^2
 - 256k - 1MB L2 support
 - PLB6 local bus, support 1 - 16 core

順当に考えると、これにHPC専用 Book-E 規格 APU を組み合わせたモノが
"Sequoia" (BG/Q) のプロセッサになりそうです。

実は今週もこのPPC476、ちょっとニュースに顔をだしていました。
URLリンク(www.eetimes.com)
  -------------------
  LSI announced in September it helped IBM Corp. developed the multicore PowerPC
  476FP. A four-core version running at up to 1.6 GHz is now available from LSI in
  TSMC's 40nm process.
  -------------------
共同開発の権利なのかどうかは不明ですが、TSMCでも製造できるようです。同時に
LSIは 500MHz eDRAM を顧客の設計に提供するというアナウンスもしてます。

ところで私にはハイエンドネットワークプロセッサと言えば、この辺のコアを使用した
SoC 製品になるような気がするのですが、>>312の "Wire-Speed Power Processor"
の正体が何なのかは、来る ISSCC の発表が楽しみです。

397:Socket774
10/01/23 17:59:53 pI7SLEoh
また自分を棚にあげて牧野はしょうもないこと書いてるな

398:Socket774
10/01/23 19:02:05 uTurV4UX
銀ピカの棚?

399:MACオタ
10/01/23 21:03:13 Mz/BEPLA
>>393の龍芯3号ですが、一昨年のHot Chips 20のプレゼン資料が公開されて
います。
URLリンク(www.hotchips.org)
個人的には今までの報道を勘違いしていた部分がありました。
 - 龍芯3は MIPS64 の "GS464" コアと SIMD プロセッサの "GStera" コア
  のヘテロジニアス構成
 - 報道中で出ていた『コア数』は上記の2種類のコアの合計


400:MACオタ@補足
10/01/23 21:07:36 Mz/BEPLA
そういう訳で、今年のTOP500で5位に輝いたGPGPUクラスタ天河1号も
含めて、中共のスーパーコンピュータ・プロジェクトはヘテロジニアス
路線に専念していると言えそうです。

401:MACオタ
10/01/23 21:28:12 Mz/BEPLA
ちょっと古いニュースですが、>>382-383あたりで書いたTSMC 40nm プロセス
の歩留まり、現状で解決されているというニュースが流れています。
ソースは Digitimes ですが、すぐ読めなくなるので DailyTech の記事を
引用しておきます。
URLリンク(www.dailytech.com)
  -----------------------
  DailyTech spoke with a TSMC spokesperson yesterday, who stated
  that TSMC's 40nm yields are now "approximately at the same level"
  as the more mature 65nm process. Semiconductors are made in
  lithography chambers, and the process can be comprised of several
  hundred steps. Usually a new manufacturing process is developed
  and refined in a test fab and then transferred to production
  lines in a process called Chamber Matching. This theoretically
  ensures standard conformity and higher yields. There were several
  problems with chamber matching on TSMC's 40nm lines, leading to
  yield problems despite using the same process and recipes.
  -----------------------

402:Socket774
10/01/24 02:32:46 L+Rd/SCB
>>399
オタさんとあろうものが勘違いですか

たしか、英語だったけどそのものずばりの記事があったよ
ちょっとブクマを参照できない状況にあるので
アドレスは貼れないんだけど

403:MACオタ
10/01/24 03:09:57 Q/AWDBGf
>>396に書いた PowerPC 476, もう少し調べてみました。

まずこちらのプレゼン資料は概要を判りやすく書いてあります。
URLリンク(www.power.org)
HPC向け SoC に使用される筈の Book-E APU (演算器やレジスタの内部拡張仕様)に
関しては、この資料の P.6 に次のような記述があります。
  ------------------------
  ・ High performance out-of-order auxiliary processor pipeline interface
   - Support the floating point unit
   - Support for future accelerator extensions such as VMX
  ------------------------
ますますもって、Sequoia のベースとなる公算は大きいかと。

更に 32nm 世代までは予定に入っているようで、こちらのプレゼンの P.7 にロードマップ図
が掲載されています。
URLリンク(www.cn.power.org)
 - 476FP 12S: 45nm世代, 1.6GHz, 3.5mm^2 / 1.6W
 - 476FP 13S: 32nm世代, 2.1GHz, 2.5mm^2 / 1.3W
その他、P.34にはチップ内バスの PLB6 の帯域幅が 102.4GB/s であること、P.35 には
PPC440 直系である PPC464FP と比較して 7段パイプラインから 9段パイプラインにした分
ステージあたりのロジックは 37FO4 から 26FO4 に減少し、動作クロック向上に寄与している
ことが記されています。

積極的なロードマップから見ても、どうやら IBM の今後の組込向けコアはこの系列で決定の
ようで、現世代のゲーム機に使用された PPE / PX コアはお払い箱になったようです。
次世代 CELL/B.E. があるとすれば、制御用 POWER ISA コアも PPC470 系列の設計になる
のではないでしょうか。

404:MACオタ>402 さん
10/01/24 03:13:00 Q/AWDBGf
>>402
  ----------------
  オタさんとあろうものが勘違いですか
  ----------------
てっきり GS464 も GStera も同じ MIPS64 コアで、GStera の方には Altivec や SSE のような
かたちで SIMD ユニットが追加されているモノと解釈してました。

405:MACオタ
10/01/24 03:40:14 Q/AWDBGf
龍芯情報、少し追加。
URLリンク(blogmag.ascii.jp)
  -----------------------
  これが今までの龍芯のように「創ったという結果を残して終了」ではなく、スパコン
  「曙光」のロードマップでは、曙光6000に8000超の龍芯3号を載せるとしているし、
  また上海のお隣、江蘇省政府は15万台の龍芯3号搭載PCを購入することを約束し
  ている。後者は額にして、2009年年末に5000万元(約6億8000万円)、今年と来年で
  それぞれ1億5000万元(20億円強)を支払うのだそうだ。15万台を50億円弱で購入す
  るのなら、平均して1台あたり3万円強となる。
  -----------------------
山谷氏は過去記事で龍芯を散々ネタ扱いしてきましたが、今回は好評価のようで…

406:Socket774
10/01/24 10:23:08 L+Rd/SCB
>>404
たしか↓の記事だったかな?

Hot Chips: the third Dragon CPU
URLリンク(www.h-online.com)

407:MACオタ>406 さん
10/01/24 11:33:04 bsqgJCTe
>>406
ご紹介ありがとうございました。

それにしても>>336-337 に書いた、

『CELL/B.E. を叩くのに懸命な日本…
 一方、欧米ではスーパーコンピュータ用コアとしておいしくいただいた。』

という構図も興味深いですが、中共のヘテロジニアスへの傾倒も,

『Intelを持ち上げて、成功した自国開発のヘテロジニアスチップ CELL/B.E. を
 引きずりおろすのに必死な日本。一方、中国はヘテロジニアスコアにx86
 エミュレータを実装していた。』

という対比でみると、なかなか(笑)

408:Socket774
10/01/24 12:28:00 1LpyWn63
ヲタさんもういいんだよ、cellは終わったんだよ・・・

409:MACオタ
10/01/24 18:04:32 7sUNozEW
>>396, >>403 で紹介した PPC476FP に関して GCC ML に情報が出ているようです。
URLリンク(gcc.gnu.org)
 - 推測通り FPU は APU インターフェース接続で、FPU 無しの PPC476 もありえる。
 - 命令レイテンシ
  単純整数演算(加減算、論理演算、等): 1
  複雑整数演算(整数乗算、SPRアクセス、等): 4
  整数除算: 11, non-pipelined
  ロード/ストア: 4 (アップデート付きアドレシングのペナルティなし)
  浮動小数点演算: 6
  浮動小数点除算: 19 (単精度), 33 (倍精度), 共に non-pipelined

ところで>>403 で書いたこれですが、大きな勘違いで PPE と違って 32bit コアのPPC47x
では無理でした。
  -----------------
  次世代 CELL/B.E. があるとすれば、制御用 POWER ISA コアも PPC470 系列の設計になる
  のではないでしょうか。
  -----------------

410:Socket774
10/01/24 18:24:07 WHluXdx0
>>409
ブルドーザの目指してるところってこれじゃないのか

411:MACオタ
10/01/24 18:58:23 oeZiwZQt
それでは 64-bit 組込コアのロードマップはどうなっているかというと、"PowerPC A2"
という情報が世間では飛び交っているようです。
これもちゃんと根拠があったようで、GCC に設定が追加されていました。
URLリンク(gcc.gnu.org)
 - こちらはちゃんと PPC64。
 - 組込向けコアなのは間違いないらしく、APU 接続演算リソースの定義がある。
 - in-order コアの様に見える
 - 乗除算専用パイプラインがあるらしい (DSP?)
 - MT は止めた?
 - 命令レイテンシ
  整数乗算: 1 (32bit), 6 (64bit)
  整数除算: 32 (32bit), 65 (64bit), 共に non-pipelined
  ロード: 5 (整数), 6 (fp)
  ストア: 1 (整数), 2 (fp)
  浮動小数点演算: 6
  浮動小数点比較: 5
  浮動小数点除算: 59 (単精度), 72 (倍精度), non-pipelined
  平方根: 65 (単精度), 69 (倍精度), non-pipelined

PPE直系の設計の様に見えます。文中に"SPE"なる記述も…


412:Socket774
10/01/24 19:04:30 kTtH3DnY
>>411
次のCELLにはこれが付くのか

413:MACオタ@訂正
10/01/24 19:26:58 oeZiwZQt
>>411 はちょっと訂正。
  ------------------
   - MT は止めた?
  ------------------
a2.md に記されたレイテンシ記述と、rs6000.c に記されたものが、ほぼ
2:1 の比率になっているようですから、2-way FGMT で間違い無さそうです。

414:MACオタ>412 さん
10/01/24 21:06:48 oeZiwZQt
>>412
  ----------------
  次のCELLにはこれが付くのか
  ----------------
むしろ次期 XCPU かと。

参考までに PPU の記述と比較してみました。
URLリンク(gcc.gnu.org)
a2.md の中で演算リソースの割付が"nothing"のモノは、枠組だけ用意して
数値は適当な値を入れてあるだけっぽいので、もっともらしい値だけ比較します。
 64bit整数乗算: 9 cycles (PPU) -> 6 cycles (A2)
 32bit整数除算: 32 cycles (PPU) -> 32 cycles (A2)
 64bit整数除算: 64 cycles (PPU) -> 65 cycles (A2)
 浮動小数点演算: 10 cycles (PPU) -> 6 cycles (A2)
 浮動小数点ロード: 7 cycles (PPU) -> 6 cycles (A2)
 浮動小数点ストア: 13 cycles (PPU) -> 2 cycles (A2)
 浮動小数点比較: 6 cycles (PPU) -> 5 cycles (A2)
 単精度fp除算: 74 cycles (PPU) -> 59 cycles (A2)
 倍精度fp除算: 74 cycles (PPU) -> 72 cycles (A2)
 単精度fp平方根: 84 cycles (PPU) -> 65 cycles (A2)
 倍精度fp平方根: 84 cycles (PPU) -> 69 cycles (A2)

・整数DSP用のパイプラインが新設されて、一般の処理を行う一般整数パイプラインの負荷が
 軽くなった
・全体にパイプラインが短くなった
というのが改善点なのでしょうか?

415:MACオタ@補足
10/01/24 21:14:11 oeZiwZQt
>>411 でキャッシュに関する記述を書き忘れたので、追記しておきます。
 - 64-byte キャッシュライン
 - 16KB L1
 - 2MB L2
 - 16本の自動プリフェッチストリーム

キャッシュラインのサイズを半分にして、多少は利用率を上げた一方で、L1 は PPU より半減
ですか…

416:MACオタ
10/01/24 21:40:25 oeZiwZQt
こちらも昨年秋のニュースですが、AMCC の Titan コアを搭載した製品が発表されています。
Titan の発表ってもう2年以上前だったりするのですが…
スレリンク(jisaku板:392番)
URLリンク(pc.watch.impress.co.jp)
AMCC のリリースはこちら。
URLリンク(investor.appliedmicro.com)
  -----------------------
  The APM 83290 includes a processor subsystem that integrates two Titan cores
  based on Power Architecture technology, delivering frequencies of 1.5 GHz per core.
  The Titan core is a superscalar, dual-issue, out-of-order core designed to achieve
  industry leading single thread performance on a per clock basis. Along with high
  performance, innovative circuit design techniques enable the APM 83290 to deliver
  speeds of 1.5 GHz in 90nm bulk CMOS while comparable designs require 45nm SOI
  process technology to achieve similar operating speeds.
  -----------------------
今となってはあらゆる点で PPC476 に劣る訳ですが、リリースにあるように 90nm バルクプロセス
で同レベルのクロックを実現しているのは立派と言えるのかも。
量産は今年Q1なので、476より早く登場するのも確かです。

417:Socket774
10/01/24 21:47:06 kTtH3DnY
>>414
なぜXCPU、CELLとは考えないの?

418:MACオタ>417 さん
10/01/24 21:54:55 oeZiwZQt
>>417
PPUの開発リソースをMSに横流しされた恨みをそう簡単に忘れるとも思えませんが…

419:Socket774
10/01/24 22:00:13 kTtH3DnY
>>418
それが根拠なの、根拠が弱いと思う

420:MACオタ>419 さん
10/01/24 22:08:46 oeZiwZQt
>>419
  --------------
  根拠が弱いと思う
  --------------
では言い換えましょう。チップ開発能力が殆ど無いMSのために、半導体開発の研究所を
持つSONYが開発費を共同で負担してあげる必要があるでしょうか?

421:MACオタ@補足
10/01/24 22:20:51 oeZiwZQt
>>419
真偽はともかく、こういう報道もありました。
URLリンク(pc.watch.impress.co.jp)
  --------------------
  ちなみに、Cell B.E.の開発をSCE(ソニー)、IBM、東芝の3社のエンジニアで行なった
  米オースティンのSTI Design Centerには、現在、SCEのアーキテクトチームはほと
  んど残っていないと言われる。
  --------------------
現時点で未発表の"A2"ですから、昨年初頭の段階はちょうどアーキテクチャ設計の最中
だった筈。その時点でSCEの技術者が手を引いていたすれば…

422:Socket774
10/01/24 22:40:27 kTtH3DnY
>>420
前提がMSありきで、共同開発orリークというのがおかしい
>>421
今回のはpower関連だからとも取れる

423:MACオタ>422 さん
10/01/24 23:06:10 oeZiwZQt
>>422
IBMは商売に関しては悪の権化のような会社です。客から開発費をふんだくった上、
開発した製品の販売権も手に入れるという所業を繰り返しています。

今回話題にしている PPE, PPC476 も全て例外ではありません。
 ・PPE: SONYの資金で開発 -> MSに派生製品をライセンス
 ・PPC476: LSI Corp. の資金で開発 (>>396参照) -> コアはIBMブランドで販売
URLリンク(www-01.ibm.com)

さて、A2の開発費を出した客は誰でしょうか?誰が A2 を必要としているかで判るかと。

424:MACオタ@続き
10/01/24 23:36:36 oeZiwZQt
ちょっと CELL/B.E. 開発の現状を整理してみましょう。

龍芯3号と同じ Hot Chips 20 で東芝は SpursEngine を発表しています。資料はこちら。
URLリンク(www.hotchips.org)
p.17を見れば判りますが、SPEは単にバルクSiで製造しているだけでなく、完全にレイアウト
設計をやり直しています。SpursEngine が PPE を持たないのも周知の通りです。

一方で IBM が HPC 向けに設計した PowerXCell のレイアウトはこんな具合。
URLリンク(www.power.org) (P.18参照)
倍精度ユニットは正に『ポン付け』としか言い様がありません。最新の CELL/B.E. のユーザー
ズマニュアルを読めば書いてますが、PowerXCellで新たにサポートされたDDRメモリの
インターフェースも、XDRメモリコントローラの先にコンバータが『ポン付け』…

IBMの設計がダメとは言いませんが、地道な設計の最適化を行うような人的リソースが
無いのは明らかです。そんなIBMに改良設計を頼むような顧客って誰でしょうか?

425:MACオタ@続き
10/01/25 00:01:16 EtwJE1f0
もう少し大胆に予測してみましょう。

まず、PPC476。 2-issue の PPC440 シリーズから一気に 5-issue OoOE に高性能化を
図りました。共同開発した LSI Corp. はネットワークプロセッサへの応用を考えているでしょう
が、これって仕様としては明らかに PPC750 (PowerPC G3) シリーズの後継に当たります。
おそらく IBM が狙う顧客は任天堂でしょう。
APU インターフェースには小変更した VSX ユニットを搭載して、従来の倍精度FPUレジスタ
応用の単精度2並列SIMD命令をサポートすると共に、Altivec でSIMD幅2倍の性能向上も
図るものと思われます。

PPC-A2については、SONYとMS以外にはさっぱり売れなかったPPEをあえて改良したという
ことは、どちらかの会社が開発を依頼したことが間違いありません。しかし、それに留まらず
IBMの狙いは両方に売って大儲けすることです。
>>424 に書いたように、より開発依頼をする動機があるのはMS。しかし舶来信仰の日本企業
も引き続きパートナーシップを継続しようとする可能性はあります。

ここで注目すべきは、CELL開発中止のリーク。
URLリンク(www.itmedia.co.jp)
これもIBMのいつものやり方で、過去にはAppleのIntel移行の際にもPowerPCの極秘ロード
マップが半ば意図的にIBMのホームページに置いてあったことがありました。
メディアを利用したFUDはIBMのいつもの手口です。CELLがネタになっていることから、ター
ゲットはSONYでしょう。SONYは疑惑の2社のうち、積極的じゃ無い方ということになります。
従って A2 の顧客は MS でしょう。
SONYがIBMのFUDに掛かったか、否か、は現段階では不明ですが PS4 が一番先行不明
ということになりそうですね。

当たるか外れるかは数年後のお楽しみ。

426:Socket774
10/01/25 00:19:36 X8K8/API
ポン付けワロタw 正しくモジュール志向な設計方法取ってんなw

427:Socket774
10/01/25 00:23:39 7c1nIx4k
なんかSONY信者さんが狂ってますね

半導体開発の研究所を持つSONYさんがなぜIBMに開発を委託してるんでしょ?
単にMSと同じでCPUのような大規模プロセッサの開発能力がないからでしょ?

428:MACオタ>427 さん
10/01/25 00:27:37 EtwJE1f0
>>427
  ------------------
  CPUのような大規模プロセッサの開発能力がないからでしょ?
  ------------------
外人様に開発していただいたプロセッサを、最適化しつつシュリンクする術に長けている
ことはPS2用チップで証明済みかと?

429:Socket774
10/01/25 00:28:40 7c1nIx4k
>>428
うん だから開発する能力はないんでしょ?

430:Socket774
10/01/25 00:47:13 D0vEs2EC
MSはAMDに依頼する可能性も高いから
どうなるかはわからんな。CPUもGPUも
同一会社開発、製造の方が何かと楽で安心だろうし。

431:Socket774
10/01/25 00:48:15 uD0VHped
これでSONY信者と読み取ってしまう思考能力はある意味跳躍してるな。

つかSONYはPPE'なりA2なり使うしかないじゃん。
x86プロセッサーをSPEの頭になんか無理なんだし。

432:Socket774
10/01/25 01:02:39 oS0z0R8a
>>425
IBMによるFUDか…
後藤氏が最近になって拡張版CellをPS4に載せる計画が白紙になったと言ってたが…時期的に合致するのかな

433:Socket774
10/01/25 01:05:03 cfEItLPZ
枝葉末節に噛み付いてでもソニーを貶めたくてしょうがないんだろうなw

>>425
2010年にPowerXCell 32ivってロードマップはまだ現存してそうなの?
全然出てきそうな気配がないけど。

434:MACオタ>433 さん
10/01/25 01:13:48 EtwJE1f0
>>433
  ----------------
  2010年にPowerXCell 32ivってロードマップはまだ現存してそうなの?
  ----------------
もう今年は2010年ですから消えたのでは?
ただし、"A2"により PPE' 相当のコアが現存したことが確認された訳です。

435:Socket774
10/01/25 01:15:33 gNrlrMWP
コンソールの世代間の性能差となるとやっぱ一桁くらいは欲しいから、
まだちょっと早いんじゃないかなあ。

436:MACオタ>435 さん
10/01/25 01:18:52 EtwJE1f0
>>435
次世代 CELL 用に A2 造ったけど、SONY が手を引いちゃったのでコア仕様だけ
公開して客待ちという可能性も無いとは言えませんか…

437:Socket774
10/01/25 01:26:11 gNrlrMWP
まあチップじゃなくてコアだからあってもいいのかもね。
32SPEのチップとか言う話だと今年大量に使われることはまずないけど。

438:Socket774
10/01/25 01:43:52 eHzXwpwP
そういやPS2のEEってかなり速いらしいね
ゲーム使用に限定するなら世代の違うPPEと比べても遜色ない性能だとか

439:Socket774
10/01/25 09:41:10 xp4UgILQ
ゲーム用CPUは暫し休憩だよ。
MSは現行チップを45nmに移行させ、かつ、省電力にしないと次の6 or 8コアに
取り組めない。SCEはチップ面積的に45nm世代は無理。いずれも32nm世代以降
だが、両社爆熱での品質不良や高価格でWiiに完敗した経験から、32nm世代で
は無理せず、その次の22nm移行前後位しか次のゲーム機は出しづらい。
しかし、CPU設計のコア部分はもう大幅変更する力は両社とも残っていない
から、現行コアの改良だけなら急いで研究開発してその技術を他社に横流し
されたら涙目だし。
ゲーム機の三国志状態は続くし、ソフトメーカーのマルチ化は続くから、
移植の容易さを確保する必要があるので、極端に変わるとは思えないしね。

440:Socket774
10/01/25 20:46:09 vjDAiAJY
ゲーム機自体は、携帯機が主戦場になっちゃってるからねぇ…。

省電力コアとか機能の取捨選択という部分では面白いし
組み込み系チップのハイパフォーマンス化を先導するのだけど
スパコンとかそういうレベルの話では無いからね。

441:Socket774
10/01/25 21:30:04 968avAjx
それにしても、22nmとそれ以降って、まともに微細化がすすむのかねえ。
EUVになるのかEBになるのか。いずれにしてもすごいコストだ。
ゲーム用ならスループットの高いEUVが必要だろう。
代わりの3DLSIのロードマップもあっちこっちで出ているが、こないだの学会じゃ大手はみんな及び腰。
2015年に密結合の3DLSIとか書かれているが、3年5年遅れても驚かんぞ。

442:Socket774
10/01/25 21:38:26 kt559r2q
台湾が新しいリソグラフィ技術を考案しましたよ
URLリンク(journal.mycom.co.jp)

とは言えこういうのがすぐに物になるとも思えんが

443:Socket774
10/01/25 21:51:41 968avAjx
>442
これはただのEBの変種だからな。
EBの最大の問題はスループット。
この問題を解決する方法は、マルチビームとか昔から研究されているが
まともな形になったものはまだない。

少量な試作に使うくらいなら何とかならんこともないだろうが。

444:Socket774
10/01/25 22:16:56 kt559r2q
ま、確かに
現行の方式と比べて二桁ほど足りないんだっけか
装置価格との兼ね合いもあるけどまだ話にならんね

445:MACオタ
10/01/25 23:11:41 JoSA0K5J
どうやら PPC A2 は思ったより大物な気がしてきました。
命令セットの一覧が Binutils ML に投稿されています。
URLリンク(sourceware.org)
POWER5までの 64bit POWER サーバーの命令の全てと、POWER7 命令の一部を
サポートする上、多くの新命令が追加されています。
  ----------------------
  * ppc-opc.c (powerpc_opcodes): Add eratilx, eratsx, eratsx.,
  eratre, wchkall, eratwe, ldawx., mdfcrx., mfdcr. mtdcrx., icswx,
  icswx., mtdcr., dci, wclrone, wclrall, wclr, erativax, tlbsrx.,
  ici mnemonics. Update other mnemonics where required.
  [略]
  + { "ppca2", (PPC_OPCODE_PPC | PPC_OPCODE_CLASSIC | PPC_OPCODE_ISEL
  + | PPC_OPCODE_POWER4 | PPC_OPCODE_POWER5 | PPC_OPCODE_CACHELCK
  + | PPC_OPCODE_64 | PPC_OPCODE_PPCA2),
  + 0 },
  [略]
  +{"bpermd", X(31,252), X_MASK, POWER7|PPCA2, PPCNONE, {RA, RS, RB}},
  -----------------------
といった感じ。同時に、Freescale e500 の命令の多くもサポートしているようです。
例えば、こんな風。
  -----------------------
  +{"dcbtstep", XRT(31,255,0), X_MASK, E500MC|PPCA2, PPCNONE, {RT, RA, RB}},
  -----------------------
仕様はてんこ盛りな訳ですが用途は何なんでしょう…というか、結局これが今年のISSCCで
発表される"A Wire-Speed Power Processor" (>>312参照)なのでは?

446:MACオタ@補足
10/01/25 23:32:49 JoSA0K5J
自分のカキコミを読み直して、単なる腐れルーマーの類だと思っていた
『PS4にPOWER7が搭載される』というネタの大元は、IBMが"A2"コアを
SONYに売り込んだという話が元になっているのではなかろうかという
気がしてきました。
URLリンク(gaming.hexus.net)
ちなみに binutils のリポジトリを掘っていくと、"e500mc64" なる名前が登場します。
URLリンク(sourceware.org)
  ----------------------
  { "e500mc64", (PPC_OPCODE_PPC | PPC_OPCODE_BOOKE | PPC_OPCODE_ISEL
   | PPC_OPCODE_PMR | PPC_OPCODE_CACHELCK | PPC_OPCODE_RFMCI
   | PPC_OPCODE_64 | PPC_OPCODE_POWER5 | PPC_OPCODE_POWER6
   | PPC_OPCODE_POWER7),
   0 },
  ----------------------
どう見ても、Freescale QorIQ の 64bit 版な訳ですが、POWER7 命令もサポートしているよう
に見えます。
果たしてサマセット研時代のようなPOWER陣営大連合が果たされるのかどうか…

447:Socket774
10/01/25 23:49:24 XnEjUZhf
PPC A2のお披露目に期待age

448:MACオタ
10/01/26 00:17:14 hWVdbD19
POWER7ですが、ISSCCでの論文発表と同時に製品も発表されると言うことになりそうで。
URLリンク(www.itjungle.com)
  ------------------------
  Power your planet.

  In February, IBM will introduce the next generation Power Systems--the first of a
  family of systems and storage designed to meet the demands of a smarter planet.
  From the chip and virtualization capabilities all the way through to the operating
  system, middleware and energy management, Power Systems from IBM are integrated
  to help support the complex workloads and dynamic computing models of a new
  kind of world.
  Power Systems--the future of Unix servers. They're coming. Smarter systems for a
  Smarter Planet.

  ibm.com/poweryourplanet
  ------------------------
ソースは Wallstreet Journal に掲載された新聞広告だそうですが、確かに…
URLリンク(www-03.ibm.com)


449:Socket774
10/01/26 02:05:17 2Kcd+3ZS
MACオタさん洞察すばらしいですね。
某社ではこのA2のことで話題が持ちきりでしたw

450:Socket774
10/01/26 12:16:06 OKvycky1
各地でMACオタさんの株が上昇しています↑

451:MACオタ
10/01/26 21:39:51 bF9XPRSO
PPC A2 が ISSCC で発表される "Wire-Speed Power Processor" だとすると、
アブストラクトには、こうあります。
URLリンク(submissions.miracd.com)
  --------------------
  A 64-thread simultaneous multi-threaded processor uses architecture
  and implementation techniques to achieve high throughput at low power.
  Included are static VDD scaling, multi-voltage design,
  clock gating, multiple VT devices, dynamic thermal control,
  eDRAM and low-voltage circuit design. Power is reduced by >50% in a
  428mm2 chip. Worst-case power is 65W at 2.0GHz, 0.85V.
  --------------------
PPUより大規模そうな仕様にしては、16-core のチップ全体で 65W@2GHzは
現実的な数字に見えます。
それでも 4 Flops/Cycle 程度の APU を搭載したとして、2GHz でおよそ 2GFlops/W。
チップ単体でこれでは、システム全体で3GFlops/W を狙うと言われる Sequoia 用の
プロセッサでは無さそうに見えますが、さて。

452:MACオタ@補足
10/01/26 21:47:59 bF9XPRSO
A2 = Wire-Speed Power 説ですが、RealWorldTech 掲示板で Wes Felter 氏が思わせぶり
なカキコミをしていますね。
URLリンク(www.realworldtech.com)
  ------------------------
  >Is there any primary source about the A2, or hard evidence of it at all, besides
  >this file in GCC?

  Be patient. (But not too patient.)
  ------------------------
ちなみに Felter 氏はこんなヒト。
URLリンク(felter.org)

453:MACオタ
10/01/26 22:03:10 bF9XPRSO
Freescale の 64bit Book-E プロセッサ, e500mc64 の方ですが、GCC の
リポジトリに Machine Description が置いてありました。
URLリンク(gcc.gnu.org)
  -----------------
  ;; e500mc64 64-bit SU(2), LSU, FPU, BPU
  ;; Max issue 3 insns/clock cycle (includes 1 branch)
  -----------------
パイプライン構造、レイテンシ共に e500mc (QorIQ) と変わらない様ですから、
上限 2GHz 程度の普通の上位組込コアの様です。
Freescale は e500 コアで Altivec をサポートするつもりは無い様ですから、興味深い
応用は無さそうですね。


454:Socket774
10/01/26 22:17:50 rUrHKrVa
>>453
XBOX用って言っていたのは何だったのか?勘違い?

455:Socket774
10/01/26 22:40:35 z9y0xD40
やっぱりIBMオタに改名するベキダ

456:MACオタ>454 さん
10/01/26 22:40:38 bF9XPRSO
>>454
  -----------------
  XBOX用って言っていたのは何だったのか?勘違い?
  -----------------
命令セットの増強を見ると、単純な PPU/PX 改良版では無かったようです。

もっともBMが客も決まっていないプロセッサを開発する訳がありませんから、A2 に関
しては XCPU 後継として使われる可能性があります。しかし、その場合は VMX128 後継
となる APU を別途開発する必要がありますから、もう少し先の話では?

実現するとすればバリア同期やコア単位の電力管理に役に立つ "Wait" カテゴリの命令
が大きく増強されているようですから、8コア以上のマルチコアとして実装されそうですね。

457:Socket774
10/01/26 22:44:56 rUrHKrVa
>>456
先走っての勘違いって事か
先走りすぎだなMACオタ

458:MACオタ
10/01/26 22:48:24 bF9XPRSO
新聞報道されていた京速の機密文書が公開されたようです。
URLリンク(www.mext.go.jp)
作業部会での悲惨な評価はp.13からの資料にあります。
  -------------------
  ・ スカラ部による性能目標達成のためには、ベクトルは完成が遅れてもやむを得ない。
  ・ 現状では、世界一奪取に対する貢献度が見えない。
  ・ 統合アプリはない、統合Linpack はやらない、ということなので、ベクトル部を継続する意義
   はほとんど無い。
  ・ ベクトルで3ペタ達成可能であるならば、作る意味はある。3ペタが達成されないならば意義
   は低い。
  ・ メモリーのクロックが遅くなったベクトル計算機では、既存プロクラムの継続利用以外のメリ
   ットが無くなりつつあり、1ノード毎にばらばらに使うのでなければ、システム構成として見
   直すべき時期に来ている。
  ・ 現在のベクトル部詳細設計では、メモリバンド幅・演算速度比がベクトル計算機として
   効率的に動作するには小さすぎる。また、電力が世界の状況と比較して過大である。し
   たがって、製作を行うことには、地球シミュレータ以来のソフトウェア資産を継承する
   以外の意義は少なく、仮に中止したとしてもその影響は限定的である。
  -------------------
NECって自主的撤退と言うよりは、切られたのでは?

459:Socket774
10/01/27 10:17:34 GBdUBjOh
携帯型コンピュータのプロセッサ、「2013年にはARMがx86を超える」
URLリンク(www.eetimes.jp)

460:Socket774
10/01/27 12:51:54 inAvk0vH
2004年にはItaniumがx86を超える(キリッ

461:Socket774
10/01/27 21:27:00 GJygqFJt
すでに8086くらいは超えてるな

462:レトリック君
10/01/28 02:06:01 vLn9Fpin
>>461
志村ーッ、2004だってばw

463:Socket774
10/01/28 07:59:29 cxikDypa
Apple A4のベースになってるARMって何なのか分かる人いる?
資料が見つからない…

464:Socket774
10/01/28 21:11:18 pv6sxUZX
なんで、出来合いのチップを調達せずに、わざわざ自前で用意しようと思ったんだろうな?


465:Socket774
10/01/29 00:36:19 eRQ+cH87
>>464
appleだからだろ。
68kMAC時代から命令セットに手を入れる会社だし。

466:Socket774
10/01/29 00:40:03 oTd58LGM
3G → 90nm / ARM11 412MHz / PowerVR MBX-Lite
3G S → 65nm / ARM Cortex A8 600MHz / PowerVR SGX
どちらも既存のものをベースにちょいカスタムしたSamsung製SoCを採用。

自前でもなんでもなく順当にiPadもこの系統だと思うが。
IPは他社のものだからどうしようもないけど、SoC設計をApple買い取ったってことなのかもね。

467:Socket774
10/01/29 01:48:49 peOYWx6c
A1→68k
A2→PowerPC
A3→x86(-64)
A4→ARM

A3はいつまで続くのかなぁーっと

468:MACオタ>467 さん
10/01/29 01:54:39 nDBbxlWP
>>467
Apple ][ が抜けているのは、ちょっと歴史認識が間違っている気が…

469:MACオタ
10/01/29 02:00:17 nDBbxlWP
TomsHardware の SpursEngine レビュー。
URLリンク(www.tomshardware.com)
複数のIntel/AMDのホストプロセッサでの比較を行っていますが、
 - 消費電力据置きで、エンコード時間は半分以下で済む。
 - 画質はソフトウェアエンコーディングに匹敵
 - アドオンカードにしては値段も安い
ということで、好意的なレビュー結果でした。

470:MACオタ
10/01/29 02:18:23 nDBbxlWP
TheRegs の ISSCC プレビューですが、Morgan 記者は "Wire-Speed Power" を
試作品と見ている様で…
URLリンク(www.theregister.co.uk)
  --------------------
  IBM's chip designers will be showing off another experimental Power7 derivative,
  an unnamed 2.3 GHz "wire-speed Power processor" that sports 16 cores and 64 threads.
  --------------------

471:MACオタ
10/01/29 03:07:17 nDBbxlWP
理研とNVIDIAが主催した"Accelerated Computing"研究会で、
URLリンク(reg-nvidia.jp)
牧野教授が次世代GRAPE-DR の開発状況を語ったようです。
URLリンク(www.artcompsci.org) (P.56)
  ------------------------
  GRAPEs with eASIC
   ・Completed an experimental design of a
    programmable processor for quadruple-precision
    arithmetic. 6PEs in nominal 2.5Mgates.
   ・Started designing low-accuracy GRAPE hardware
    with 7.4Mgates chip.

   Summary of planned specs:
   ・around 8-bit relative precision
   ・support for quadrupole moment in hardware
   ・100-200 pipelines, 300MHz, 2-4Tflops/chip
   ・small power consumption: single PCIe card can
    house 4 chips (10 Tflops, 50W in total)
  ------------------------
300MHz の HPC 向けプロセッサとはあまりに貧乏路線過ぎる気もしますが、電力効率
勝負になっている現在のトレンドには合致しているのかもしれません。
でも電力管理に(設計)リソースを振り向けられなくて、それほど効率も上がらないかも…

472:Socket774
10/01/29 22:29:01 48LxObXC
>>470
おいらも最初はIBM版Niagaraかと思ってたんだけど
オタさんがいろいろ書くもんだから分かんなくなっちゃった

473:Socket774
10/01/30 01:36:00 OCKk1ry5
armがmsと共通規格策定できれば凄いことになりそう

2年後くらいかな

1年後のandroid共通規格でもいいけど

474:Socket774
10/01/30 01:46:27 NWfoSSfy
PPC "A2" の開発に LSI が参加していることについて MAC ヲタが>>425で↓と書いているが
> 共同開発した LSI Corp. はネットワークプロセッサへの応用を考えているでしょう

個人的にはネットワークプロセッサーよりも IO プロセッサーへの応用を期待してみる.
intel は IOP がディスコンしまくりだし,AMCC は 3ware を手放したみたいだが
マルチコアにスケールしやすいプロセッサーはIOプロセッサーとしてどうなのだろう?
# RAIDだとパリティ演算とかマルチコアで性能出し易そうに思えるのだが…触ったこと無いから解らん…

LSI のネットワークプロセッサーはハイエンドではない ARM だし,どうなのかね?


475:MACオタ>474 さん
10/01/30 03:26:18 9on66SoV
>>474
  ------------------
  > 共同開発した LSI Corp. はネットワークプロセッサへの応用を考えている
  ------------------
カキコミが分散して誤解させてしまったことは申し訳ありませんが、それA2じゃなくて
PPC476の話です(>>396参照)。

476:MACオタ
10/01/30 20:37:12 9on66SoV
安藤氏の今日の更新ですが、
URLリンク(www.geocities.jp)
  ----------------
  今の計画では,富士通は当初計画通り2012年3月末に10PFlopsを作り,
  NECのベクトル部が無くなったのに,予算は変わらないというのは理解
  できません。
  ----------------
マスメディアでの記事まで含めて、散々事業仕分けを批判した挙句に
他人事のようにこれは無いのではないでしょうか?
文責とかそういうものって、いったい何所に…

477:Socket774
10/01/30 21:52:39 HaYdkyQt
翻って事業仕分けはスバラシイとか言い始めたわけじゃないんだから別に矛盾して無いでしょ。
事業仕分けに批判的な人でも京速計算機に問題が無いなんて考えてる人はほぼ皆無だと思う。
オタさんはこの問題に関して「スパコン利権vs事業仕分け」みたいな二極思考のようだけど。

478:Socket774
10/01/30 21:54:18 uVHFvPal
馬鹿だから仕方ない

479:MACオタ>477 さん
10/01/30 22:28:24 9on66SoV
>>477
  -------------
  翻って事業仕分けはスバラシイとか言い始めたわけじゃない
  -------------
いわゆる『文系』の世界と違って、自説の前提が間違っていることが明らか
になった場合は、そういう主張を行っても我々の世界では非難はされません。
むしろ過去の自説に偏執するほうが馬鹿にされます。

過去の安藤氏の主張に関しては、例えばこの記事を通読下さい。
URLリンク(journal.mycom.co.jp)
仕分け側の金田教授や、計画見直し論に京速サイドに立って批判を加えて
いるのが判るかと思います。

480:Socket774
10/01/30 22:36:38 CUQsMXOS
命令キューとリオーダバッファの区別のついていないMACオタが何を言うか(笑)

481:MACオタ
10/01/30 22:38:16 9on66SoV
ちと話は変わりますが、>>336で紹介した CELL を採用した欧州のスーパー
コンピュータQPACEの開発スケジュールが最後のリンクにあります。
もう一度貼り直しておきますが、
URLリンク(www.desy.de) (P.32)
  ----------------
  ・ 01/08   Official Project Start
  [中略]
  ・ 08/09   Deployment of 4 racks at JSC and
          4 racks at U Wuppertal complete
  -----------------
TOP500でのお披露目まで入れても、余裕で2年以下ですね。
汎用プロセッサを選択するだけでも、これだけの開発速度を実現できるという
のは、頭においておいて良いかと思うのですが…

482:Socket774
10/01/30 22:53:09 3GfdHy0C
>>479
>仕分け側の金田教授や、計画見直し論に京速サイドに立って批判を加えて
いるのが判るかと思います。

そういうのが二極思考だと言っているんです。
京速サイド、事業仕分けサイドどっちの味方とかそういう視点でしか見ていない。

>今の計画では,富士通は当初計画通り2012年3月末に10PFlopsを作り,
NECのベクトル部が無くなったのに,予算は変わらないというのは理解
できません。

この主張に矛盾するような箇所は見つけられませんでした。

483:Socket774
10/01/30 22:54:38 3CnLW1tD
>>482
馬の耳に念仏だよ

484:MACオタ>482 さん
10/01/30 23:06:20 9on66SoV
>>482
  -------------------
  そういうのが二極思考だと言っているんです。
  -------------------
当該記事から安藤氏独自の『極』なる提案を読み取ることができると主張
されるのでしたら、その内容をお書き下さい。

485:Socket774
10/01/30 23:12:07 e4DD98L0
きもい

486:Socket774
10/01/30 23:14:29 OCNUFsQC
落ち着け

487:Socket774
10/01/30 23:21:33 3GfdHy0C
>>484
いいえそういった主張はしません。
ただ単に安藤氏は様々な状況を総合的に判断して京速は推進すべしとの結論なのでしょう。

488:MACオタ
10/01/30 23:37:18 9on66SoV
Power.org が Power ISA 2.06 (サーバー仕様) の特徴について白書を公開しています。
ISA 2.06 Server Environment というのは POWER7 のための規格のようなモノですから、
POWER7 の改良点そのものかと。
URLリンク(www.power.org)
 ・ VSX (Vector-Scalar Extension)
 ・ Processor Compatibility Register
  ISA 2.05用の仮想マシンとISA 2.06用の仮想マシンの切替に役に立つそうで。
 ・ Authority Mask Override Register と User Authority Mask Override Register
 ・ 複数ページサイズの同時サポート
 ・ DCBT/DCBTST 命令によるプリフェッチの拡張
  昔から使われているテクニックですが、より現代的な仕様を追加しました。
  - Transient (一時的使用) 指定
  - Stride-N プリフェッチ: 疎行列アクセス用
 ・ メモリアクセス順序の強制
  PowerPC ISA は『緩い』メモリオーダリングを持つ命令セットですが、SPARCやx86の
  エミュレーション用にこの機能が役に立つそうで。
 ・ DFP (Decimal Floating Point)

489:Socket774
10/01/30 23:40:51 r1JjubY8
オタさんファビョっちゃった

490:Socket774
10/01/31 09:40:25 MzIQbD9D
一瞬POWER7版PowerPCかと思ったw

491:Socket774
10/01/31 15:13:46 vqFsqtRV
MACオタの口から文系批判が出るとは思わんかったw

492:MACオタ>491 さん
10/01/31 18:21:54 1yjeuoJz
>>491
特に批判しているつもりはありませんよ。昔、かの世界は伝統芸能の様に
説が『存在する』ということに意味があるので、現実世界の動向にかかわらず
間違いを認めると変節漢として非難されると聞いたのですが…

493:Socket774
10/01/31 19:29:47 KIDcoz5Q
認めなきゃ!間違いを!<オタへ

494:Socket774
10/01/31 20:30:57 dFNPGiKm
>いわゆる『文系』の世界と違って、自説の前提が間違っていることが明らか
>になった場合は、そういう主張を行っても我々の世界では非難はされません。
>むしろ過去の自説に偏執するほうが馬鹿にされます。

ゲハ厨風情が「我々の世界」とか言い出すようになってるとはワナビー病も根が深い

495:MACオタ>494 さん
10/01/31 21:56:21 1yjeuoJz
>>494
特に自慢になるような話でもありませんが、ゲハ板より私の方が古いんですよ。
古いカキコミを検索してみると、この位は辿れますね…
URLリンク(mimizun.com)
  -------------------
  6 名前:MACオタ :1999/11/25(木) 07:48
   ドルさん,解説どうも。
   サポートをMac OS 8以上に限定すれば,httpはiCabの様にHTTP Access
   機能をAppleScriptで呼び出すだけで使えるす。遅いけど。
   どなたかREALBasicあたりで頑張ってみてわいかがすかね?
  -------------------

496:Socket774
10/01/31 22:57:36 5I7EitZN
「> ゲハ板より私の方が古い」ことがどう>>494 と繋がるのかさっぱり分からん。
いきなり自分語りしだして何考えてるんだコイツ。

497:Socket774
10/01/31 23:06:30 KIDcoz5Q
しーっ、見ちゃいけません

498:MACオタ@補足
10/01/31 23:19:26 1yjeuoJz
ふと懐かしくなって自作板の過去ログを探していましたが、最古のスレッドも
残っているのですね。
URLリンク(mentai.2ch.net)
私の最初のカキコミはこれっぽいです。
URLリンク(mentai.2ch.net)
  -------------------
  10 名前: MACオタ  投稿日: 1999/12/20(月) 21:57
   登録シールを見ると92年8月から使ってるApple Keyboard II (US)。
   当然キートップの刻印はほとんど消えてるす。
  -------------------

499:Socket774
10/01/31 23:21:42 QXjkP70+
反転、MACオタさんの株が下落しています↓

500:Socket774
10/02/01 00:03:41 lABIHalb
こんなMACオタには減滅した・・・かまってちゃん全開でひくわ

501:Socket774
10/02/01 00:38:24 GL9NK3t1
団子が消えてオタが残った

502:Socket774
10/02/01 00:45:16 EAq6juci
MOTO製G5の話題あたりから懐メロ入って来たとかw

503:Socket774
10/02/01 01:25:25 AdGDJaT5
>>476辺りでバランスを崩し始め、復旧を試みるもそのまま負のスパイラルへ
FYIや情報交換ではなく自我を保つために書き込みするタイプがたびたび陥る罠です

504:MACオタ
10/02/01 19:14:44 rktrAdlu
>>393 で言及されている龍芯3号の論文見つけました。
URLリンク(ams.ict.ac.cn)

それから>>392でこういうニュースがありましたが、
  ----------------
   ・今年中にPOWERサーバーはPOWER7世代に更新される
  ----------------
IBMが POWER7 搭載ブレードサーバー (POWER6 搭載の JS23/JS43 の後継機)の研修会
を3月に予定しているとのこと。
URLリンク(www.redbooks.ibm.com)
Q2あたりに製品がでるのでしょうか?

505:MACオタ@訂正
10/02/01 19:52:28 rktrAdlu
上のIBMのリンクって研修会の案内じゃなくて、該当機種の Redbook の編集バイト
の募集ですね…

506:MACオタ
10/02/02 06:46:33 kko00L6f
2/8のISSCCのプロセッサセッションでのPOWER7講演(>>312参照)と共に、製品発表も行われるようです。
URLリンク(www.theregister.co.uk)
  -------------------------
  It looks like IBM's initial Power7-based servers are going to be launched in
  New York on February 8. Big Blue sent out the invitations today.
  -------------------------

507:,,・´∀`・,,)っ-○○○
10/02/02 23:45:50 hSNfD5Gf
2chより面白いサイトがあってね

508:Socket774
10/02/02 23:48:42 M5C9MwaG
もう団子食べ飽きた、イラネ

509:MACオタ
10/02/03 07:31:58 ZkoxHoCc
なんと2/8にはTukwilaも発表になるんだとか。
URLリンク(www.theregister.co.uk)
  --------------------
  High-end server chip rivals Intel and IBM have picked the same day
  - next Monday, February 8 - to launch their respective quad-core
  "Tukwila" Itanium and eight-core Power7 processors.
  --------------------
すでに顧客には出荷が始まっているとのことで、Intel の新製品発表の通例
として、搭載製品も同時に公開されるのでしょう。

510:MACオタ@補足
10/02/03 07:37:08 ZkoxHoCc
参考までに一昨年の Hot Chips 20 で発表された Tukwila のプレゼン資料を
貼っておきます。
URLリンク(www.hotchips.org)
ここからメモリコントローラの変更が行われたことは発表されている訳ですが…

511:Socket774
10/02/05 23:38:44 rCFtQ7wC
ARM系で一本
Cortex A、R、Mそれぞれに後継のロードマップとな
ARM Preps 2-GHz, Multicore Chips for Smartphones
URLリンク(www.pcmag.com)

Cortex-Aに関して言えば、今後は鯖にも使われていくんだろうけど、
そうなると気になるメモリの心許なさ
アドレス空間拡張の予定はあるんじゃろうか…

512:,,・´∀`・,,)っ-○○○
10/02/06 09:31:36 kCi1iHQ2
サーバねぇ

513:Socket774
10/02/06 11:12:35 RJeUKv3j
組み込み向けサーバとかあんの?

514:Socket774
10/02/06 14:22:15 wMU/6bmO
ARMとか鯖にならんかと妄想したこともあるが、
よくよく考えると棲み分けできる場所が無い。
高性能なヤツは何個CPU積んでも無理っぽいし、
中小企業のちっさいヤツはどうせWindowsだしAtomで十分だし。

515:,,・´∀`・,,)っ-○○○
10/02/06 16:14:37 kCi1iHQ2
ARMは割と好きだけど流石に・・・ねぇ


516:Socket774
10/02/06 19:20:49 Z9531TMX
団子ちゃんは無理せず今までのようにARM(笑)って言ってればいいと思うよ。
その方が自然です。

これまでもARMをサーバに出来ないかって話は結構出てたんだけどね。
URLリンク(www.eetimes.com)
とりあえず、PASemiとCiscoはアップを始めたっぽい。

517:Socket774
10/02/06 19:58:52 BuPM/VMm
Atomがあんだけ安く出ちゃうと、ARMの出番は無いな。

Intelが殿様商売でボッタクリCPUのみ売ってた頃ならともかく。

518:Socket774
10/02/06 20:32:36 G3uW7np+
その意味ではVIAに頑張ってもらわんと。

519:Socket774
10/02/06 21:25:20 wMU/6bmO
ARMが鯖になれるならSuperHもなんとか頼む!

520:Socket774
10/02/07 01:01:13 jJFaYBvK
>>516
PASemiってPowerPCやってたんじゃなかったっけ?

521:Socket774
10/02/07 01:47:01 k9sZKN6T
>>520
Apple傘下でARM作らされてるよ。

522:Socket774
10/02/07 09:36:30 jJFaYBvK
うん、それは知ってる

523:Socket774
10/02/07 14:00:41 in1+Ru8i
いよいよx86が終わると思うと胸が熱くなるな

524:Socket774
10/02/07 16:40:59 Mxq+/byn
10年後もx86が残っているに1票

525:Socket774
10/02/07 18:34:16 n9EWu0KM
MSが他のプロセッサへの移行を本格的に始めたらx86も終わりそうな
気がするけど、MS自身がハードウェアの商売でも始めない限り、Winを
別の環境に移行させる意味はないからな。

526:MACオタ
10/02/08 01:01:12 kCnuu4MY
>>396 で紹介した LSI Corp がIP売りするPPC476FPコアについて、
LSIのサイトに資料がありました。
URLリンク(www.lsi.com)
将来的には TSMC の 28nm プロセスでも製造可能にして、1.6-1.8GHz で動作予定
とのこと。
アプリケーションの例として、同時発表した eDRAM を混載したブロック図も掲載され
ています。

527:MACオタ@補足
10/02/08 01:02:08 kCnuu4MY
上記の話題の日本語記事も紹介しておきます。
URLリンク(techon.nikkeibp.co.jp)


528:MACオタ>520-522 さん
10/02/08 07:51:38 kCnuu4MY
>>520-522
少なからぬ旧 P.A Semi の社員が Apple を退社済みとのこと。
Ahlee Vance 氏の記事なので信用できると思いますよ。
URLリンク(www.nytimes.com)
  ------------------------
  Some of the chip engineers Apple gained in its purchase of PA Semi appear
  to have already left the company. According to partial records on the job
  networking site LinkedIn, at least half a dozen former PA Semi engineers
  have left Apple and turned up at a start-up called Agnilux, based in San
  Jose. The company was co-founded by one of PA’s leading system architects,
  Mark Hayter.

  Neither Mr. Hayter nor other onetime PA workers who left Apple for Agnilux were
  willing to discuss either company’s plans. According to two people with knowledge
  of the two companies, who were unwilling to be named because the matter is delicate,
  some PA engineers left Apple a few months after the acquisition because they
  were given grants of Apple stock at an unattractive price.
  ------------------------

529:Socket774
10/02/08 10:48:31 8s5N9LAv
URLリンク(www.yusuke-ohara.com)
>iPadにP.A.Semiの技術が...という論調を目にするけれど、私が知る限りにおいてP.A.Semiの部隊は四散してしまった筈。

530:MACオタ
10/02/08 20:33:19 i2j+4fL+
ISSCCを前に、色々情報が出てきています。
まず、発表を目前に控えたPOWER7搭載サーバーの話題。
URLリンク(www.theregister.co.uk)
URLリンク(www.theregister.co.uk)
 - Power 750 (Power 550 後継)
 - Power 755 (HPC向け)
 - Power 770, 780
 - 3 GHz, 3.3 GHz, 3.5 GHz, 3.55 GHz, 3.8 GHz, and 4.1 GHz。最高 4.5GHz?
 - 4.1GHz は Power 780 の "TurboCore" モード (4-coreのみ有効でOC)

531:MACオタ
10/02/08 20:54:57 i2j+4fL+
RealWorldTech の David Kanter 氏が掲示板に POWER7 講演の詳細を投稿していました。
URLリンク(www.realworldtech.com)
 - L1D のレイテンシは 2-cycle (POWER6は4-cycle)
 - L1のSRAMセルは、0.426um^2。6T構造
 - "Fast Local L3" の load-to-use レイテンシは 25-cycle。SRAM を採用した場合より
  3-cycle 程度のペナルティはある。(>>316参照)
 - L3 の動作クロックはコアの1/2
 - L2のレイテンシは 8~9-cylcle
 - L3 全体のレイテンシは 75-cycle 程度
 - 2つの整数および4つ?の浮動小数点パイプラインごとに独立したレジスタファイルを持つ

532:MACオタ@訂正
10/02/08 21:00:49 i2j+4fL+
上の話ですが、講演はまだ始まっていないので、予稿集の情報だと思われます。

533:Socket774
10/02/08 21:25:01 fymYUEha
Fast Local L3、コヒーレンシ取らない占有領域として使うんですかLSみたいに

534:MACオタ>533 さん
10/02/08 21:31:26 i2j+4fL+
>>533
>>316のプレゼン資料を見れば判りますが、コヒーレンシは維持されます。
  ---------------------
  - Automatically clones shared data to multiple private regions.
  ---------------------

535:Socket774
10/02/08 21:46:24 fymYUEha
Power7の最大の売りは何ですか?

536:MACオタ
10/02/08 22:00:58 i2j+4fL+
先週のニュースらしいですが、POWER7で浮かれるIBMの East Fishkill 工場で
飲料水に大量の鉛が含まれていることがバレたそうで…
URLリンク(www.poughkeepsiejournal.com)
  ----------------------
  WICCOPEE ― Too-high levels of lead have been found in drinking water at
  IBM Corp.’s East Fishkill complex, prompting the company to provide alternate
  sources of water.
  ----------------------
流石、工場労働者なんて人とも思わない守銭奴IBMらしい所業ですね。

537:Socket774
10/02/08 22:17:56 fymYUEha
>>536
Power7の最大の売りは何か答えてよ?

538:MACオタ>537 さん
10/02/08 22:25:42 i2j+4fL+
>>537
  -----------------
  Power7の最大の売りは何か答えてよ?
  -----------------
そういうことはIBMの営業に電話すれば良いのでは?

539:Socket774
10/02/08 22:28:01 fymYUEha
>>538
さんざんコピペしてるくせに、答えられないのかよ

540:MACオタ
10/02/08 22:39:52 i2j+4fL+
POWER7のベンチマーク結果も出てきました。
まずは SAP SD (Standard) URLリンク(www.sap.com)
 - SPARC64 VII/2.88GHz (32-chip/128-core): 17,430 [users]
 - POWER7/3.55GHz (4-chip/32-core): 15,600 [users]
 - POWER6/4.2GHz (16-chip/32-core): 14,432 [users]
 - Itanium2/1.6GHz (32-chip/64-core): 12,500 [users]
 - Tigerton Xeon/2.93GHz (16-chip/64-core): 10,600 [users]
 - Istanbul Opteron/2.6GHz (8-chip/48-core): 10,000 [users]

541:Socket774
10/02/08 23:37:37 zSjOHSur
性能ぶっ千切りだな

542:Socket774
10/02/08 23:46:50 h/Ciw5Ft
お値段の方もブッチギリです

543:MACオタ
10/02/09 05:41:31 wiDvnLYd
IBMのプレスリリース来ました。>>535さんご希望の『売り文句』も書いてありますよ。
URLリンク(www-03.ibm.com)
FUDの元祖IBMとは思えないほど発表から販売開始までの時間は短く、一部機種は
2月半ばに販売するとのこと。
 - Power 750 Express, Power 755: 2/19
 - Power 770, Power 780: 3/16
 
ベンチマークをまとめたSystems Performance Report はこちら。
URLリンク(www-03.ibm.com)
SPEC2006_rate, SPECjbb, 前述の SAP SD 2-Tiers, Oracle eBS Benchmark,
LINPACK HPC, STREAM, NAMD, SPEC OMP2001 の結果があります。

544:MACオタ
10/02/09 06:16:24 wiDvnLYd
IntelのTukwila発表も来ました。Itenium 9300シリーズとのこと。
URLリンク(www.intel.com)
  ----------------
  The Intel Itanium processor 9300 series ranges in price from $946 to $3,838 in
  quantities of 1,000. OEM systems are expected to ship within 90 days.
  ----------------
搭載製品の同時発表とはいかなかったようで…
なお、製品ラインは下記の通り。
URLリンク(download.intel.com)
 9350: 4-core, 1.73GHz, 24MB L3
 9340: 4-core, 1.60GHz, 20MB L3
 9330: 4-core, 1.46GHz, 20MB L3
 9320: 4-core, 1.33GHz, 16MB L3
 9310: 2-core, 1.60GHz, 10MB L3

その他、注目点はこんなものでしょうか?
 - 既報通り、Neahlem-EPとはプラットフォーム共通化が図られているとのこと。
  "share several platform ingredients, including the Intel(R) QuickPath Interconnect,
  the Intel Scalable Memory Interconnect, the Intel(R) 7500 Scalable Memory Buffer
  (to take advantage of industry standard DDR3 memory), and I/O hub (Intel(R)
  7500 chipset). "
 - "Foxton" Technology はNehalenと共通のブランド"Intel Turbo Boost Technology"
  になった模様。

545:Socket774
10/02/09 06:23:21 7vLXVre+
>Intel 7500 Scalable Memory Buffer
ここだけ興味がある
他はもうガイシュツネタばっかだろ

546:Socket774
10/02/09 17:22:43 oVTzk6E5
URLリンク(pc.watch.impress.co.jp)

547:MACオタ
10/02/09 23:02:45 wiDvnLYd
当然のごとく今日も色々。
まず国内でのPOWER7搭載サーバーの発表。
URLリンク(www-06.ibm.com)
URLリンク(enterprise.watch.impress.co.jp)
個人的な注目はパッケージの写真です。
URLリンク(enterprise.watch.impress.co.jp)
今回発表されたミッドレンジサーバーに搭載されているのは、この中で「セラミック・
モジュール」のチップと思われます。真ん中の「オーガニック・モジュール」(要するに
プラスチックパッケージ)のチップはBladeCenter等に使用される筈です。
BladeCenter や IntelliStation POWER がどういった価格帯で登場するかが楽しみかと。

電力効率のスライドも、サーバー製品の消費電力が公開されたという点で興味深いかと
思われます。
URLリンク(enterprise.watch.impress.co.jp)

ちなみに POWER System サーバー (旧 pSeries) で用いられる性能指標 rPerf の
解説はこちら。
URLリンク(www-03.ibm.com)



次ページ
最新レス表示
レスジャンプ
類似スレ一覧
スレッドの検索
話題のニュース
おまかせリスト
オプション
しおりを挟む
スレッドに書込
スレッドの一覧
暇つぶし2ch