CPUアーキテクチャについて語れ 16at JISAKU
CPUアーキテクチャについて語れ 16 - 暇つぶし2ch200:,,・´∀`・,,)っ-○○○
09/11/23 17:15:34 nT9DryEJ
所詮部品のそのまた構成要素にすぎん。
「DDR2-DRAMチップはCore 2 Duoより売れている」
って言うようなもんで野暮


201:Socket774
09/11/23 21:55:52 47q579hW
垂直統合と対になるのは水平分業

202:Socket774
09/11/24 00:04:50 euFcfzd5
NECがスパコンでIntelを選んだ理由
URLリンク(pc.watch.impress.co.jp)

203:Socket774
09/11/24 00:29:54 BubHDolv
>価格競争力を度外視して、国家プロジェクトで世界一性能の高いスーパーコンピュータを開発しても、それは一時的な国威発揚にしかならない。

光インターコネクトとか45nmプロセスとかそういう要素技術が残ると思うのだが。
それをPCなり家電なりに活かせるのか、即転用はできないにしても先鞭になるのかという議論はまた必要かもしれない。


>総事業費約1,150億円という予算は、それに見合っているのか、ということを仕分け人は問うているのだと思う。

Intelは年間6000億以上R&Dに投資しているんですよ?5年で3兆円。
1150億円しか支援しないのだから先の見通しが微妙になるのはそらー当たり前でしょう。
スパコン開発の意義もわからなかった仕分け人には大金に思えるのかも知れないがなwww
だからアメリカ見習ってフェーズを幾つかに分けて一社に絞ってどかんと予算落とす方が良かったんだよ。
ただでさえ少なすぎる予算なのだから。

204:,,・´∀`・,,)っ-○○○
09/11/24 00:34:17 hPX1Nh9Y
富士通という私企業の予算を国に組ませないといけない時点でおかしいだろボケ
それともIntelは米の国営企業か?


205:Socket774
09/11/24 00:52:50 BubHDolv
>>204
国営企業ではないが利益出していっぱい税金落としてるじゃん
公共事業打って何が悪い

206:,,・´∀`・,,)っ-○○○
09/11/24 01:00:05 hPX1Nh9Y
で、あと何年おんぶにだっこさせればIntelみたいに自立してお金落ちるようになるの?
任天堂なんて国に技術開発支援受けたことは一度もないのに世界に誇るグローバル企業だぞ。

それは兎も角、先端プロセスを手がける半導体企業は露光技術でニコンやらの日本企業と協力関係にあるわけで
無理してまでIntelと同じ土俵で競争する必要なんてないんだよ。

207:,,・´∀`・,,)っ-○○○
09/11/24 01:10:56 hPX1Nh9Y
Intelはリソースの米国内調達に拘ってないんだよね。
モバイルチームはイスラエル人を雇ってるし、最先端プロセス用の露光装置は日本から買ってるわけだよな。
なんで日本は外部調達じゃなしに全部国産でやらんといかんのですか?
得意でもない分野まで。

食料自給率うpみたいな生産性のあることならまだわかるが。

Intelが22nmを手がけて旧くなった露光装置は中古で外部ファウンドリに流してるような2012年という時期に
独自に45nm作ったところで何が国益になるんですか?
45nmなんてルネサスは2008年に量産してるんですぜ?
君の主張は日本を鎖国して後進国にさせたいように見えてならんよ。

208:Socket774
09/11/24 01:13:52 pLNmnBH4
話が分散しすぎていると思うが。
光インターコネクトとか要素技術の話だろう?

209:Socket774
09/11/24 01:15:25 BubHDolv
>>206
>で、あと何年おんぶにだっこさせればIntelみたいに自立してお金落ちるようになるの?

だから今の状態じゃおんぶにもだっこにもなってないんだよw


>任天堂なんて国に技術開発支援受けたことは一度もないのに世界に誇るグローバル企業だぞ。

任天堂に何の関係があるのか
団子は国がスパコン開発支援しないで現状を打開できると思ってるの?


>それは兎も角、先端プロセスを手がける半導体企業は露光技術でニコンやらの日本企業と協力関係にあるわけで
>無理してまでIntelと同じ土俵で競争する必要なんてないんだよ。

競争が激し過ぎるところを避けるのはわからないでもない
でもIntelと競合するリスクや見込める利益なんかの議論は尽くされていないと思う

210:,,・´∀`・,,)っ-○○○
09/11/24 01:20:08 hPX1Nh9Y
消費者向けの光伝送インターフェイスならそれもIntelがやってると思うのだが。

不治痛の手掛けたMOは流行らなかったぞ?

211:,,・´∀`・,,)っ-○○○
09/11/24 01:22:45 hPX1Nh9Y
>団子は国がスパコン開発支援しないで現状を打開できると思ってるの?

国の金で国産CPU作る必要があるかといえば、否だな
ITゼネコンに金ばらまくって意味なら全く意味がないな


そもそもなんで民主党政権になってから言うわけだ?
日本が国営半導体企業を作らないといけないようなことを
自民がやってたわけでもあるまい?

212:,,・´∀`・,,)っ-○○○
09/11/24 01:27:14 hPX1Nh9Y
それとも、Xeonでスパコン作って研究したら成果がどっかの企業にもってかれるんですか?

国の施策が不満ならそれこそ経団連構成企業で金出し合ってどっかの大学にスパコンでも作ればいい。
国立大への寄付の場合、国に税金もってかれない特権がある。


213:,,・´∀`・,,)っ-○○○
09/11/24 01:34:46 hPX1Nh9Y
俺はコンピュータに必要なのは後にも先にもソフトだと思う

214:Socket774
09/11/24 01:57:42 J8uS7stT
任天堂って電通の総バックアップ受けてなかったっけ
国じゃないにしろ真っ当な企業じゃねーだろな

それにウィンテルの構図だって米国政府が望んだ形だろうに
たぶん一企業の技術とがんばりだけってのはどこも無理。

215:Socket774
09/11/24 02:02:49 J8uS7stT
外人に金もたせ荒らさせ、トロン潰しなんてのも痕跡あるしな。
日本のソフト屋はゲームも何もかもその収益性から博打ヤクザが経営してるようなもんで、
ものづくり的な会社に育つはずもなし。

216:,,・´∀`・,,)っ-○○○
09/11/24 02:05:08 hPX1Nh9Y
シアトルマリナーズのスポンサー企業でもある


217:,,・´∀`・,,)っ-○○○
09/11/24 02:09:31 hPX1Nh9Y
URLリンク(blog.livedoor.jp)
自民政権でもろくなことになってない気がするんだが。

218:Socket774
09/11/24 03:40:49 mngxCW7S
元IBMの中の人でさえこんな意見だっつーのに団子ときたら

>●この事業仕分け手法はアメリカ人には話せない 投稿日: 2009/11/13
>(中略)
>・もし法的にオーソライズされていない質問者が多数決でプロジェクトに致命的とも言える
> 金額レベルの削減を1時間で決め、そのまままかり通るという手法が通ったとします。
> まさにアメリカのみならず世界の科学技術界の物笑いで、日本の大型科学技術プロジェクト
> 遂行への信用は大きく失墜するでしょう。(アメリカ人から聞かれてもうまく説明できないし、
> 話したくもないですね。来週がSC09ですから、日本の主な出展者にとってはワーストタイミング
> になってしまったに違いありません。)
>
>・ふたを開けてみたら、次世代スーパーコンピューター競争の最大の敵は20PetaFLOPSを
> 目指すIBMではなく実は自国政府でした、というのではしゃれにもなりません。個人的には、
> 最後まで関係者のねばりを見せて欲しいところです。
>
>・もう少し言うと、国の科学技術戦略を担当してきたオーソリティ(総合科学技術会議か)は
> こうした状況に対して当然ながら、きちんとなんらかの意思表示をする義務があると思います。
> と書いて総合科学技術会議のメンバーを調べたらトップが鳩山首相でした。
>
>●Blue Waters用POWER7 投稿日: 2009/11/18
>(中略)
>スーパーコンピュータのトップレベルでは、こんな激しい技術競争をしているわけですから、
>これがわかっていれば、2位でもいいなどという甘い発想はとても出てきません。

219:,,・´∀`・,,)っ-○○○
09/11/24 03:53:25 hPX1Nh9Y
2位じゃ駄目か。わかってるじゃないか。
なら、海外で売れるような競争力も無いSPARC64(笑)だけで組むとか尚更駄目だな。
Sandy BridgeとLarrabeeで仕切りなおしするとかのほうがまだ生産的だ。

220:Socket774
09/11/24 03:58:17 9Fw1q5W2
URLリンク(www.iza.ne.jp)

221:,,・´∀`・,,)っ-○○○
09/11/24 04:10:18 hPX1Nh9Y
>>218
あと、引用元ちゃんと示せ
略された部分が重要だろ。
君の自己満足なら俺には必要ない


222:Socket774
09/11/24 07:02:41 KUhziBj+
民主党がやろうとしてんのは前時代的な革命だね
形になってるものまで鬼の首とったかのように壊してる
支持もその茶番劇によるものだろう

223:Socket774
09/11/24 14:09:10 T8x5hoyG
元IBMといっても脳沢みたいなのもいるからなぁw

224:Socket774
09/11/24 15:15:33 7VpDZvzp
>>210
なぜそこでMOが?

225:Socket774
09/11/24 15:24:29 RQdqsZRS
一般消費者はもはや求めてないのにお役所が生きながらえさせてるメディアの代表格ではある。

226:Socket774
09/11/24 19:38:11 2v9OeFGY
>>207
超スレチだけどアメリカからイスラエルへの投資や寄付の免税措置は病的だ。

227:,,・´∀`・,,)っ-○○○
09/11/24 22:20:49 hPX1Nh9Y
>>218の引用元ググったら出てきたけどこの人も相当キてるよな


経団連に期待しろって言ったのは撤回する。
自社の雇用すら守らないお便所さんが景気対策のために税金投じてくれ云々言うから笑えるわ。
安定雇用を破壊して国内消費を鈍らせてるのは何処の誰だよ。
かつて経済一流と言われた日本だが、今はその程度の自浄作用すら期待できない。


228:Socket774
09/11/24 22:28:48 3QlTiAPM
No future!

229:,,・´∀`・,,)っ-○○○
09/11/24 23:08:31 hPX1Nh9Y
杉林は適度に伐採してやんないと
花粉症が増えたりして経済にマイナスなのです
樹脂も豊富で良質な燃料にもなる。

まずは日本の林業を救うところから始めようか。

だんご粉自給率100%は二の次

230:Socket774
09/11/24 23:24:09 wiPBCQni
団子の口から自給率とか聞くとは思わなかった
もっとグローバリゼーションマンセーな錬金術師のイメージだったよ
それとも何かを炙り出すためポーズで言ってんの?

231:,,・´∀`・,,)っ-○○○
09/11/24 23:46:06 hPX1Nh9Y
砂糖をバイオ燃料にするよりは余ってる木を燃やす方が生産的だろう。
有機物は無駄に腐らせてはいけない。


232:Socket774
09/11/25 00:06:34 wAdVFdaD
【ET2009レポート】XilinxとARM、合同記者発表会を開催
URLリンク(pc.watch.impress.co.jp)

233:Socket774
09/11/25 10:07:53 mzW69JsI
農産物からアルコールってのは詭弁だしな
大輸出国アメリカが自国で食う分まで燃料にしても、アメリカの2ヶ月分の燃料にしかならない

234:Socket774
09/11/25 12:59:33 FJQN1Mgh
ARM7/9を既に実装してるトコロは、上位機としてARM11を望むけど
ARMとしてはCortex-A使って欲しくて揉めがちである、と。
ARM11コア製品がロードマップに前々から載ってるけど出てこないって会社、確かにあるなw

235:Socket774
09/11/25 18:53:37 LAPUmM6S
>>222
民主だか自民だかどっちでもいいが、
こんな糞は一度キャンセルすべきだったろうね。

236:Socket774
09/11/25 20:34:51 nfOfNSti
モータ制御をAtomで代替するのは非現実的
URLリンク(journal.mycom.co.jp)

237:,,・´∀`・,,)っ-○○○
09/11/25 23:15:05 XnXiDy9n
モータの制御なんてGHzなんてマスクROM内蔵の数十MHzの世界だな
今までの常識ならば。

どこまで打ち破れるか興味は尽きない

238:Socket774
09/11/25 23:23:32 FJQN1Mgh
Intelは、まだ8051改良型のMCS251や
8096系のMCS296とか作ってるんだから

そっち使え!って事だろ…

239:,,・´∀`・,,)っ-○○○
09/11/25 23:43:31 XnXiDy9n
仮想敵は元ローラ、TI、ルネサスあたりか。。。
一体いくらで売る気だ
チャレンジャーにも程がある

240:,,・´∀`・,,)っ-○○○
09/11/25 23:44:48 XnXiDy9n
モトローラってよりはフリスケか


241:Socket774
09/11/25 23:48:34 FJQN1Mgh
MCS251/MCS296は既にディスコンで保守品種ですが
まだ一応手に入ります。えぇ。

っていうか、その代わりがAtom?無茶すぐる…

242:,,・´∀`・,,)っ-○○○
09/11/25 23:52:30 XnXiDy9n
Cortex A9のクアッドコアでMini-ITXのボードあるから買って遊んでみようと思ったら
60マンとかふざけてるのかと

それはそうとiPhoneに感染するウィルスも出てきたし「MacOSは絶対にウィルスに感染しない」なんて
基地外マカーが少しは減るんだろうか

243:Socket774
09/11/25 23:55:01 FJQN1Mgh
>>242
クアッドにコダワリなければ、BeagleBoardでヨクネ?
まぁアレもIF少ないけど…

244:,,・´∀`・,,)っ-○○○
09/11/26 00:02:16 XnXiDy9n
デュアルコアCortex-A9のAndroidケータイの白ロム何個か繋いでクラスタリングみたいな
とてつもなくアホなことがやりたくなった


245:Socket774
09/11/26 00:02:19 pqeRkl2f
基地外マカーと言えば団子ちゃんと仲良しのあの方を最近見ないんだが

246:Socket774
09/11/26 19:53:00 8rNQQ9NL
ザイリンクスって読むのかw

247:Socket774
09/11/26 22:46:04 w1vP57Y+


248:Socket774
09/11/27 20:54:57 WGho4pLP
スパコン開発は世界一を目指さなければ意味がない - 東大・平木教授
URLリンク(journal.mycom.co.jp)

249:,,・´∀`・,,)っ-○○○
09/11/28 01:42:42 q4aoUrmv
GRAPE関係はなんでこうもキてる人ばかりなのか

250:Socket774
09/11/28 05:06:03 o+AyQL56
幕末の武士のように
もう自分たちが要らないんだって認めたくないんで
必死なんじゃない

251:Socket774
09/11/28 09:44:54 0vLYzTWw
CPUあーきてくちゃ、を語る人も同じに見えてるぞ。

252:Socket774
09/11/28 10:34:23 Zj56XYxe
武士道を語る町民がいたっていいじゃんw

253:Socket774
09/11/28 15:29:49 Cf7f/CDB
ニュー速とか見てるとスカラプロセッサは安い、
ベクトルプロセッサは高いという議論になったりしてんのな
安いスカラプロセッサなんてx86だけだというのに
これを理由にNECディスって富士通マンセーしたり
SPARCの富士通が日本勢だったりPS3にしろだのもう滅茶苦茶w

254:,,・´∀`・,,)っ-○○○
09/11/28 15:31:07 q4aoUrmv
たしかにひどい

255:,,・´∀`・,,)っ-○○○
09/11/28 15:34:27 q4aoUrmv
世界的にCO2排出量削減の流れになればベクトルは復権とはいかないまでも
アクセラレータとしては一定の支持を取り戻すことはできると思うよ。

いつになるかは知らんが。

まあ、汎用性は低いが安いベクトル型プロセッサ(GPU)も台頭してきたことだし
いろいろ面白いことになりそうですな

256:Socket774
09/11/28 23:26:02 I53zpS/V
>>255
米中もやる気ないし自国の経済を悪くする政策に世界が乗り気になるわけない。
「アホの日本が罠にかかりおった。
排出権相場を釣り上げて日本にある金を搾り取ってやる。ウヘヘ」
ってのが地球温暖化詐欺だろうに。

257:Socket774
09/11/28 23:40:43 1WkjCqI3
ま、CO2は25%減らさなきゃってのは科学的に要求される最低レベルの対策ってことはおいといて
日本のアレは中国とかもやるなら削減って話だから、実行に移されるなら米中も削減するよ

258:OOO-⊂(´∀`旦⊂☆諫碕
09/11/28 23:54:45 6zYEyD45
>>253
LRBスレにもちょっと前に書いたが、
スカラvsベクトルっていう世界観で未だに分析しているくせがあるのが悪い。

スパコンは
・どれだけ汎用品のものを流用するか
・どれだけ専用のものを新規に設計するか
という部分が重要で、アーキテクチャとか技術論というよりは
経済面でのメリット・デメリット論の世界なんだよ。
純粋に技術的にいえばHPCで自分がよく走らせるコードを神が分析して
それ用に神が最適化して設計したプロセッサのアーキテクチャが一番効率がいい。
つまり専用プロセッサの方が有利。

しかし、新規部分の多い開発にはコストがかかる。
結局のところ彼らの議論は、経済面の話なの。それがわかってないHPCオタが多い。

259:OOO-⊂(´∀`旦⊂☆諫碕
09/11/28 23:57:21 6zYEyD45
まあ一部プロセッサと書いてしまったけど、当然プロセッサだけじゃないよね。

260:Socket774
09/11/29 00:17:08 2oRNugae
経済面の話だってのは納得
でも、ものを安く作れるってのも「技術」じゃないのかな
経済面を全く無視した技術ってのは成り立ちうるんだろうか

261:OOO-⊂(´∀`旦⊂☆諫碕
09/11/29 00:21:44 5LUz4v7U
>>260
おれがいってるのはそこまで完璧に経済面を排除した首尾一貫した理屈じゃない罠。

少なくともGPGPUはベクトルプロセッサと似ているからベクトルプロセッサの様な末路を迎えるとか、
スパコン用にスカラプロセッサさえ頑張って開発して沢山つなげば、世界一になれるとか、
無理のある論理は排除できるだろ。

HPCオタは殆ど経済面の理屈で合理的に理解できる議論をしているのにもかかわらず、
自分達は科学・技術の話をしていると思いこんでいるところ。
だから技術面と経済面が合致しない部分で永遠に矛盾を抱えたまま綺麗な結論が出せないでいる。

262:Socket774
09/11/29 00:24:56 2oRNugae
なるほど、了解

263:OOO-⊂(´∀`旦⊂☆諫碕
09/11/29 00:29:15 5LUz4v7U
まあ蛇足であるが、用途が科学技術計算というジャンルで専門家の顔もよくでる話だから、
HPCオタは特にそういう傾向が強いんだと思う。技術に経済面の話を導入すると純粋な技術論が汚れるみたいな。
その点、コンシューマの雑多な話が多いおれらの方は技術と経済面とは自然にミックス
された状態の話題になれているからな。自作板の勝利ってことで。

264:Socket774
09/11/29 00:53:56 f1A/trH5
安全保障とかそういう政治的な話を持ち出す輩にはどう対応すればいいすかね?

265:MACオタ>264 さん
09/11/29 01:13:21 pL7dE78L
>>264
  --------------------
  安全保障とかそういう政治的な話を持ち出す輩にはどう対応すればいいすかね?
  --------------------
海千山千の悪党国家であるギリスもフランスもドイツも自国製スーパーコンピュータ用プロセッサなんて
開発していない…というのが、最も判り易い回答では?

266:Socket774
09/11/29 01:14:21 MArYkWTv
うお 久々に見た

267:Socket774
09/11/29 01:23:02 qryEl5hT
MACオタさん生きてたんだ…

268:Socket774
09/11/29 01:37:27 0Wt5eky0
せっかくだからオタさんに質問
- IBMがHPCでのCellの使用をやめるらしい件
- PS4のCPUにPOWER7コアが使われるという噂
について、何かコメントください

269:MACオタ
09/11/29 01:53:20 pL7dE78L
The RegisterのT.P.Morgan記者がSC09で展示されていたBlue Waters向けPOWER7ノード
について結構詳しい記事を書いています。
URLリンク(www.theregister.co.uk)
4chip (=32core) MCMの写真なども興味深いですが、マザーボードについて面白い記述が…
  --------------------
  There are two monster motherboards underpinning the processors and their
  memory and the hub/switch and its interconnects. These mobos are
  manufactured by Japanese server maker Hitachi and Brenner said that these
  were the largest motherboards ever made.
  --------------------
京速から遁走した日立はBlue Watersノード向けマザーボードの製造を担当していた
とか(笑) 国が技術振興のためにやるべきことは『何』なのか、考えさせられますね。

270:MACオタ@続き
09/11/29 01:55:19 pL7dE78L
ということが判明した訳ですが、技術振興のために国がやるべきことって『何』という
ことのヒントが隠されているような…

271:MACオタ>268 さん
09/11/29 02:01:02 pL7dE78L
>>268
最初の話ですが、IBMの米国特許をちょっと調べてみるだけでもCELL/B.E.への開発
投資が続いていそうなのは推察できますよ。
URLリンク(patft.uspto.gov)

2番目の話ですが、上の記事でPOWER7 MCMの消費電力とパッケージサイズについて
の記述を読んでから真偽を考えてみてはいかがでしょうか?
  -----------------------
  Both chip packages have the same pin count at 5,336 pins (92 pins by 58 pins),
  according to Alan Brenner, a senior technical staff member of the server and
  network architecture team within IBM's Systems and Technology Group:
  …
  At 800 watts, the package is not cool by any means, but the Power7 IH MCM is
  delivering performance at 1.28 gigaflops per watt at the package level.
  -----------------------

272:Socket774
09/11/29 03:18:38 gPHb32ZM
日立は京速では要素技術担当だったから(と思う)
IBMの下請けは何ら不思議ではないが
日立もPOWER売ってるしな

273:Socket774
09/11/29 04:31:48 JJ0feJZn
>>229
植林の売り文句は「伐採した木材を販売すれば儲けも出ますよ」だったが
輸入木材などで国産木材価格が暴落→放置
落葉広葉樹が一掃されて山も川も痩せて護岸工事の弊害もあって土砂崩れに鉄砲水。
森も山も川も海も壊滅状態。

日本の林業を救う方針は研修生という名の奴隷待遇の外国人労働力の使い捨て

日本人は石油化学や金属製品を加工する機械と紙屑紙幣を引きかえに
竹籠や藁細工を作る技術すらほぼ失ったのである。

274:MACオタ
09/11/29 10:21:23 2JwEUj1q
上のBlue Waters向けPOWER7ノードの件、Cheer HPCブログも良い写真を載せているので
紹介しておきます。
URLリンク(cheerhpc.wordpress.com)
・ノード内部
URLリンク(cheerhpc.files.wordpress.com)
・POWER7 MCM
URLリンク(cheerhpc.files.wordpress.com)
URLリンク(cheerhpc.files.wordpress.com)
・インタコネクトモジュール
URLリンク(cheerhpc.files.wordpress.com)

275:Socket774
09/11/29 11:12:34 0Wt5eky0
>>271
ありがとう
1件目はCell/B.E.じゃなくてPowerXCellの話だったんだけどまあいいや
オタさんは両方否定的ってことね

276:275
09/11/29 11:19:15 yXC5N5Eq
ID変わってるけど275です

一応元ネタ(?)貼っときます

Cell is no longer HPC material
URLリンク(www.theinquirer.net)

Sony chooses IBM POWER 7 CPU for PlayStation 4
URLリンク(www.gamekicker.com)

277:Socket774
09/11/29 11:32:46 VOw6AVOb
>>274
1TFLOPS達成するのに800Wとかどうなのよこれw
Larrabee使えば1/4の電力で済むぞw

278:Socket774
09/11/29 12:15:15 yXC5N5Eq
京速を大艦巨砲主義と批判する人がいるが
アメリカだって巨大戦艦を作っているということだな<800W

279:Socket774
09/11/29 13:54:41 X/85PNkR
>>277
RadeonHD5750なら100W切ってますよ(棒

280:Socket774
09/11/29 13:58:15 xQEzGLxg
カタログスペックは結構です

281:,,・´∀`・,,)っ-○○○
09/11/29 14:30:45 1kopwAVM
>>277
Larrabeeのこないだのは単精度だぞ。
倍精度ならこないだのデモ機なら半分の500GFLOPS程度がピークだろうね。
(ただ同時処理できる演算数が減るので実効性能比は改善されると思われる)


282:Socket774
09/11/29 16:37:41 tPZFkmtQ
>>252
いいとか悪いとかじゃなくて、そう見えるってこと。
キてると思われるのが嫌なのかも知れないけど、
そう見えるものはしょうがない。

283:Socket774
09/11/30 01:09:23 Se0IXeDb
すれっどすとっぱー

284:Socket774
09/11/30 02:03:34 /o1S+CRy
>>278
それ池田とかいう池沼だろwww

285:Socket774
09/11/30 09:23:24 NKdi5QgW
いや、ノビーの影響なのか何なのか
結構言ってる人いるよ

286:Socket774
09/11/30 14:08:30 o3wbSh67
あのおっさんはただの芸能人だから指さして笑ってやるのが優しさってもん

287:Socket774
09/11/30 20:13:02 ZLxaYUuK
自称アルファブロガーは総じてキチガイ

288:Socket774
09/11/30 21:23:48 NSiddILq
SH-Mobileは、もうARMなんだな

ルネサス、1GHz CPU搭載の「SH-Mobile Appliation Engine 4」
URLリンク(k-tai.impress.co.jp)

289:Socket774
09/11/30 21:59:49 NmPo1VmX
>>288
WindowsCEがSHサポート止めるからね…

290:Socket774
09/11/30 22:05:36 uG3d/LPL
あら、そうなの

291:Socket774
09/12/01 00:16:57 Ta7gQeQC
他社製OS使ってると怖いよな……

292:Socket774
09/12/01 02:21:59 UDrZVQap
>>288
URLリンク(ja.wikipedia.org)
要はGシリーズの流れなんじゃないの?

GPGPUに対応するようになるらしいし、SuperHがいらない子になるような……

293:Socket774
09/12/01 20:15:22 /C3MoG3C
そこでRXでつよ。

294:,,・´∀`・,,)っ-○○○
09/12/01 22:46:47 ekg7DMWw
1個3000円か・・・
評価ボードとか1台ウン万円なんだろうな

295:Socket774
09/12/05 12:33:13 ZHwFb1nT
URLリンク(ednjapan.rbi-j.com)
Hypercoreだそうな

296:,,・´∀`・,,)っ-○○○
09/12/05 16:36:51 KKTvEirv
なにこの超イケメン
キャー

297:Socket774
09/12/06 01:28:15 HGXL6vRo
団子ちゃんってその気があったの?

298:,,・´∀`・,,)っ-○○○
09/12/06 01:32:15 nTu8nNRJ
ねーよwwww
ちょっとだけお塩先生に似てるな


299:Socket774
09/12/07 19:35:46 V7UuNYWy
訳:ちょっとだけその気があった

300:,,・´∀`・,,)っ-○○○
09/12/07 23:55:37 3pFyeiTC
お塩先生はだんごやさんの心の師

301:Socket774
09/12/10 20:49:17 VGoFe/d7
2009/12/10 GPUコンピューティングの現状とスーパーコンピューティングの未来
URLリンク(www.slideshare.net)

おもろかったんで張っとく

302:Socket774
09/12/10 21:44:17 LrCZtiXU
緑赤青w

303:,,・´∀`・,,)っ-○○○
09/12/12 16:24:55 LfwDXAhP
クラウドは 逆から読めば 道楽だ

 -○○○

304:S3
09/12/12 18:59:18 ApefDBaM
オレ何色?

305:Socket774
09/12/13 03:16:41 6zAbpAOu
黄色

306:,,・´∀`・,,)っ-○○○
09/12/13 03:19:24 Gw3F5ZKy
ああVIAのマザボの基盤の色か

307:Socket774
09/12/13 06:49:36 g7dw5jYu
いや、まじでs3は黄色
ページ見てみりゃわかる黄色と黒は勇気の標
あとepia,vbやらのボードは青

そういや、黄色のボードってsocket7時代のficとasus位しか
うちにないな

あと、ati/amdって何色?

308:,,・´∀`・,,)っ-○○○
09/12/13 12:43:46 Gw3F5ZKy
クリスマスカラーだよな

309:Socket774
09/12/13 15:36:42 nZtooEKu
クリスマベクトル

310:Socket774
09/12/13 18:03:13 QmFAYWWh
あげ

311:Socket774
09/12/20 10:52:02 qFU9fvno
POWER7でやっとOoO復活したのがうれしいね
インテルが失敗したインオーダーの深パイプライン設計を踏襲したりとしばらく迷走してたからなあ…

312:MACオタ
09/12/23 11:38:27 FLB/nvDP
来年のISSCCでPOWER7の詳細が複数の論文で公開されることは周知の通りです。
URLリンク(www.isscc.org)
  ------------------------
  5.4 The Implementation of POWER7): A Highly Parallel and Scalable
    Multi-Core High-End Server Processor
  5.5 A Wire-Speed Power Processor: 2.3GHz 45nm SOI with 16 Cores and 64 Threads
    (MACオタ注: おそらくPOWER6 - Z10の関係に対応するメインフレームプロセッサ)
  9.3 POWER7 Local Clocking and Clocked Storage Elements
  19.1 A 45nm SOI Embedded DRAM Macro for POWER7 32MB On-Chip L3 Cache
  19.2 A 32kB 2R/1W L1 Data Cache in 45nm SOI Technology for the POWER7 Processor
  ------------------------
今年のまとめとして、Hot Chips 21以来公開された資料からPOWER7についての技術情報を
書いてみます。

313:MACオタ@続き
09/12/23 12:02:21 FLB/nvDP
Hot Chipのプレゼン自体はまだ公開されていませんが、Power.orgが公開している
このプレゼンがKalla氏の講演とほぼ同じものであるようです。
URLリンク(www.power.org)
 ・1.2 Billion transistors
 ・45nm, Cu-SOI, ダイサイズ: 567mm2
 ・2 FXU, 2 LSU, 4 FPU, 1Vector Unit, 1 Branch Unit, 1 Condition Register Unit,
  1 Decimal FPU
 ・6-wide dispatch / 8-wide issue
 ・ 1, 2, 4-way SMT
 ・OoOE
 ・32KB L1-I, 32KB L1-D, 256KB L2 per core
 ・ 8-core + 32MB shared eDRAM L3
 ・up to 32-socket
 ・コア性能向上
  - パイプライン改良
  - L1レイテンシ低減
  - L2とコアを緊密化
 ・チップ性能向上
  - 2-core (POWER6) -> 8-core (POWER7)
  - コア間インタコネクト高性能化
  - off-die eDRAM L3 (POWER6) -> on-die eDRAM L3 (POWER7)
  - Dual DDR3対応メモリコントローラ
 ・省電力機能
  - Power Gating 採用
  - Dose - Nap - Sleep
  - DVFS (Dynamic Voltage and Frequency Slewing)
  - Turbo-Mode (up to 10% frequency boost)
最終ページのプロセッサモジュールの写真は、明らかに>>274あたりのBlue Waters向けの
モノとは異なっているようですから、一般サーバー向けの開発もすすんでいるようです。

314:MACオタ@続き
09/12/23 12:20:17 FLB/nvDP
Power.orgが公開している別の資料にも興味深い記述があります。
URLリンク(www.power.org)
  (p.11)
  ----------------------
  The new POWER7 Core has a total of 18 execution units, including two
  fixed point pipelines bit aligned to the two LSU pipes.
  ----------------------
資料ごとにPOWER7の実行ユニット数の表記は異なっているのですが、>>313でも書いたような
12個という表記が多く、"two fixed point pipelines bit aligned to the two LSU pipes"というのは
FXUのことではなく、LSUにx86のAGU相当のアドレス演算用整数演算ユニットが追加されたもの
と思われます。
その他の追加情報は下記の通り
 ・11 levels of metal layer
 ・L3はコヒーレンシトラフィック低減のためのディレクトリとしても機能する

315:,,・´∀`・,,)っ-○○○
09/12/23 12:40:58 P04lSfD3
>x86のAGU相当のアドレス演算用整数演算ユニット

別モノだよ。
そもそもx86相当のアドレッシングモード自体がないのでAGUのような仕組みは原理的に無理。
ModRM以降の可変長フィールドを解決するものだが、あれは形式にもとづいてアドレス生成専用に
カスタマイズされている。

一方POWER ISAはアドレス演算も通常の整数演算も同じ命令で区別がない。
依存関係を走査すればできなくもないけど、コストがかかりすぎる。
Load/Store + アドレスインクリメントの複合命令ならあるがそれを分解せずに実行するのが一番コストかからんでしょ


逆にx86のアドレッシングモードはポインタをインクリメントしない。
「ARM相当」の間違いでしょ。


316:MACオタ@ここまで
09/12/23 12:54:13 FLB/nvDP
IBMがセミナー資料として公開しているJ. M. Tendler氏のプレゼンのいくつかのバージョン
がネット上で見つかりますが、POWER7のキャッシュ/メモリ階層と、コヒーレンシ維持機構
について詳細に記されています。
URLリンク(www.ibm.com)(Presented+to+Philadelphia+Users+Group,+2009-11-17).pdf
 ・メモリ
  - デュアル・メモリコントローラ
  - メモリコントローラとDIMMの間には"Advanced Buffer Chip"を挟む
   (POWER4以来の"SMI"チップと同じ)
  - メモリコントローラとバッファ間は8-chの高速リンク
   差動インターフェース採用、6.4GHz, 28-byte (total?)
  - DDR3、800, 1066, 1333, 1600MHz サポート
・eDRAM L3 (32MB)
  - ローカル接続メモリの1/3のレイテンシ
  - 単一スレッドが全領域を占有する設定も可能
 ・"Fast Local" L3
  - L3の一部 (up to 4MB)を低レイテンシの高速領域として利用可能
  - 通常L3の1/5のレイテンシ
 ・L2 "Turbo" キャッシュ
  - L2はコアと緊密に結合
  - 上記の『ローカル』L3の1/3のレイテンシ
 ・キャッシュ階層
  L1: write-through, L2: write-back, Local L3: partial-victim, Shared L3: adaptive
 ・メモリコヒーレンシ
  - Global Coherence Throughput: 32GB/s (POWER6) -> 450GB/s (POWER7)
  - 『投機的』コヒーレンシ通信
  - 複雑な共有状態を表現する13レベルの共有ステータス

書き忘れましたが、統合eDRAMに関してSOIを利用したFBC (Floating Body Cell)との
観測もありましたが、トレンチ構造とのこと。
URLリンク(journal.mycom.co.jp)
  ----------------------
  このDRAMはDeep Trenchキャパシタを使用するタイプのものであり、SOIのBox
  (Buried Oxide)層を取り除いてその下のシリコンバルクに深い溝を掘り、その溝の
  側面に情報記憶用のキャパシタを作る。
  ----------------------

317:MACオタ>団子 さん
09/12/23 13:34:48 FLB/nvDP
>>315
  ----------------
  Load/Store + アドレスインクリメントの複合命令ならあるが
  ----------------
"update"オプションのつくlwzu/stwuのような命令以外にも、FXUリソースを必要とする
命令はある様なのです。
gccの最適化ファイルが実行ユニットの内部構造の推測にどの程度役に立つかは謎ですが、
下記は power4 の最適化設定ファイルです。
URLリンク(gcc.gnu.org)
単純ロード (power4-load) 以外は、整数演算リソース (iuX_power4) を必要とするのが
判るかと…

318:Socket774
09/12/23 15:41:42 mVZUFjqa
>>312
5.5って "Power Processor" って書いてあるけどz11のことなの?

319:,,・´∀`・,,)っ-○○○
09/12/23 15:59:33 P04lSfD3
URLリンク(www.hpcuserforum.com)

こっちにダイフォト載ってるよ

320:MACオタ
09/12/23 17:03:11 AbeI9a02
>>318 さん
  -------------------
  5.5って "Power Processor" って書いてあるけどz11のことなの?
  -------------------
言われてみると、Z10も実行ユニットの構成はPOWER6と同じでもISAはPOWERじゃないですね。
勘違いだったかも。
でも他にこのプロセッサの使い道って何なんでしょう?

>>319 団子 さん
そのプレゼンのダイ写真は、>>313>>316に含まれている写真とどこか違いますか?
資料を読まずに脊髄反射で書き込む癖はヤメた方が良いかと…

321:Socket774
09/12/23 18:27:19 JIXOi7Oo
>>257
URLリンク(www.youtube.com)
既に京都議定書にしたがって都合の悪い時だけ自称発展途上国の中国に金を搾り取られているらしい。

322:Socket774
09/12/23 20:43:25 98b6+k8K
>>320
> でも他にこのプロセッサの使い道って何なんでしょう?

wire-speedってんだからネットワークプロセッサなんじゃね?

323:MACオタ>322 さん
09/12/23 20:48:45 AbeI9a02
>>322
  ----------------
  ネットワークプロセッサ
  ----------------
それってボッタくりIBMが最新45nm SOIプロセスで製造した、ダイサイズ400mm2超の
チップが売れる市場なんでしょうか?
FreescaleのG4クラスの製品が強い分野だったような…

324:Socket774
09/12/23 22:37:07 98b6+k8K
うーん、確かに
謎だね

325:Socket774
09/12/23 23:28:56 98b6+k8K
あとはメインフレームのI/Oプロセッサかな
それなら、ある程度値が張っても大丈夫じゃないか?

POWER7よりダイが小さいのにコア数が倍になってるから
メインフレームのCPUってことはないと思う

326:MACオタ>325 さん
09/12/23 23:52:01 AbeI9a02
>>325
  --------------------
  POWER7よりダイが小さいのにコア数が倍になってるから
  --------------------
この辺はPOWER7に限らず、近年のハイエンドプロセッサはアンコア部の面積が大きいので
証拠とは言えないかと。コア自体もメインフレーム向けはVSXが削除されている可能性が
あります。

327:Socket774
09/12/24 04:35:03 gp4aonOu
>>323
クロック控えめでスレッド数も多いし、100GbE用のネットワークプロセッサじゃないの

328:Socket774
09/12/24 20:32:48 +M6CLSPx
IOP纏めてあるサイトってない?

329:Socket774
09/12/25 00:39:17 qiTmHfRD
ネットワークプロセッサーの意見に賛成.
16core 64Threds 2+ GHz ってスペックが
いかにもCaviumのOcteonや旧RMIのNetLogicのXLPの豪華版という感じだ…
# Octeon CN5860が 16core,XLP832 が 8core 32Threds.共に MIPS64

MACオタの言う「ボッタくりIBMがチップ売れる市場」と言えるか謎だけど
ハイエンドの Octeon だと$500~$1000 ぐらい.
URLリンク(www.en-genius.net)

あるいはSun Niagara的な特定用途に強いサーバー用プロセッサーとか?


330:Socket774
09/12/27 21:30:01 WIlOSEOi
x86のμOpsで直接プログラムが書ければいいのに

331:Socket774
09/12/27 21:37:02 Gukhnjyu
メモリウォールに自分で激突するのがお好き?

332:,,・´∀`・,,)っ-○○○
09/12/28 00:46:38 TJ8Uq2yz
>>330
VIAと契約すれば?

333:,,・´∀`・,,)っ-○○○
09/12/28 00:48:53 TJ8Uq2yz
最近はx86命令セットは高級言語だと思うようにしている。

334:Socket774
09/12/28 18:16:47 KTq6oDv5
確かにw

335:MACオタ
10/01/02 20:48:08 i14xgP37
安藤氏の年頭のコラムが出ています。
URLリンク(journal.mycom.co.jp)
  -------------------
  安いからという理由で米国製のスパコンを買うことは、まんまと米国の策略に載るものである。
  -------------------
一見もっともらしい言い分ですが、スパコンを開発することとプロセッサを開発することを意図的に
混同して誤魔化してますね。
ここの皆さんは周知のように、昨年下期のTop500でトップを取ったCrayにしてもプロセッサは他社
製なわけで…

336:MACオタ
10/01/02 21:19:47 i14xgP37
スパコン利権とは全然関係なく、『日本出身』アーキテクチャであるCELL/B.E.はHPC市場で
活躍を続けています。今回紹介するのは、HPCにおける電力効率ランキング"Green500"で
上位を独占するヨーロッパ開発のCELLベース・スパコンQPACEの話。

今年のGreen500ランキングはこちら
URLリンク(www.green500.org)
ご覧の通り同成績で1位にランクされている3システムは全て QPACE SFB TR Cluster です。

URLリンク(www.fz-juelich.de)
このプレゼンは今年初めのものでやや古いですが、アーキテクチャの概要を述べてあります。
QPACEの1ノードは、p.9のブロック図のようにシングルプロセッサのPowerXCell 8iとFPGA製の
ネットワークチップで構成されます。
Roadrunnerとは違ってプロセッサはCELLのみで構成されているのが興味深いかと。
ネットワークは京速と同じく3Dトーラス。

秋のSC09 (Top500でのお披露目)以降の資料はこちら。
URLリンク(www.fz-juelich.de)
URLリンク(www.desy.de)
性能評価や、FPGAの構成などが述べられています。

337:MACオタ
10/01/02 21:38:31 i14xgP37
QPACEは間違いなく『ヨーロッパ製スパコン』と言えるかと思うのですが、プロセッサ
は日本+米国の製品であることは注目に値するかと思います。米国だってRoadrunner
にCELL/B.E.の技術を使うことに躊躇はありません。

HPC方面ではとっくにコア性能の争いよりマルチプロセッサでのスケーラビリティやら
インタコネクトやらが主戦場になっています。
>>335でも触れたように、Crayが一般向けx86プロセッサをコアに使って世界一のスパ
コンを製造し、果てにはあっさり次世代ではAMDからIntelに乗り換えるというのも、そう
した流れの上にあります。
URLリンク(japan.internet.com)
  --------------------
  なお今回の提携は、Cray の『Cascade』プラットフォームから Intel が技術製品を
  提供するというものだ。Cascade は医学や物理学などの複雑な問題を解くために
  用いられる。
  --------------------
そういう意味で、『スパコン開発=ハイエンドプロセッサ開発』というすりかえをやっている
輩は信用できないことが良く判るかと…

そうは言っても、日本発のプロセッサであるCELL/B.E.を評価したのは欧米であって、
日本国内では叩きに余念が無いヒトが未だにいるというのも、ある種興味深い現象で
あったりするわけです(笑)

338:Socket774
10/01/02 21:44:45 4a1yIVtB
>>337
んなこと言っても、この板にある某スレだって
「たくさん繋げるだけだろ」的な連中がいる事も事実。
膨大な数繋げて性能だす事はとても大変で難しい事なのだが…。

339:Socket774
10/01/03 10:08:25 7HLiLGwn
日本で叩いてるのは勉強したくない技術者。
スパコンからハンディ機まで(電力)性能向上にマルチコアはどう考えても不可避なのに
どうしてもそのパラダイムから抜け出せないがゆえに叩いてクライアントの目から隠す。
団子は競争相手が追いついて来ないように叩きネタを日本語でばら撒いて煙に巻いてる変り種。
欧米のエンジニアは立派な大学出てて職の心配する必要ない、
あるいは競争の足止めたら食っていけないの判ってるからニューパラダイム受け入れるに易い。

340:,,・´∀`・,,)っ-○○○
10/01/03 15:40:14 os5qML5t
そこまでご立派なものじゃないよ。
というか、「今使える」ものを選ぶのは理に適った判断だろう。


341:レトリック君
10/01/05 03:09:21 utY/NQWE
>>339
モマエ、脳内だけで書いてるだろ。
並列度上げました、だから性能出るはずですみたいな幻想まに受けて、
使ってるとこ見たこと無いんだろな、修羅場だぞ。
二度と俺の目に付くところに現れるな。

342:Socket774
10/01/05 13:57:41 SimaXuVH
Cellで片付く問題なんてGPUより間口が広いだけでニッチにゃ変わりない
それを不勉強だとか罵ってるんだからただの精神論、最早オカルト
バカは放っとけばよい

343:Socket774
10/01/05 17:10:51 V0dHsAuL
>HPC方面ではとっくにコア性能の争いよりマルチプロセッサでのスケーラビリティやら
>インタコネクトやらが主戦場になっています。

って流れなのに>>341-342みたいな攻撃的弁護がでてくるあたり、
もう日本だめじゃね?Cell叩きがマルチコア叩きにまで発展しててさ。
数々のネガキャンが奏功したってところか。

344:Socket774
10/01/05 20:14:48 SimaXuVH
>>343
>HPC方面ではとっくにコア性能の争いよりマルチプロセッサでのスケーラビリティやら
>インタコネクトやらが主戦場になっています。

その流れでもMACキチは平気でCell擁護しちゃうから笑っちゃうよな
普通の人なら逆説的に「Cellである必要性なんて大して無いんだな」というごくありきたりな答えに辿り着くと思うよ

345:Socket774
10/01/05 20:46:34 on+xKnJH
現状Cellが丁度いいって話だろ現物あるし
しかしどーなってんだろこの働きたくないでござるな脳みそ
MACオタもMACオタならアンチもアンチだよ

346:Socket774
10/01/05 21:19:16 MvN9XxG2
手軽に速くできるなら、コアも速いに越したことはないしな
速いCPUなら、同じ性能なら使う数減らせる→そのぶん性能も出しやすいし接続も楽
また、同じ数使うなら当然性能は上がる。

347:Socket774
10/01/05 22:18:03 lGpud3MX
POWER無敵

348:MACオタ
10/01/06 02:10:02 6srrhf1b
日経BPが今回の事業仕分けに参加した金田教授のインタビューを掲載しています。
URLリンク(itpro.nikkeibp.co.jp)
  --------------------
  世界最大のスパコンの国際会議「Supercomputing2009(SC09)」でもIBMの
  POWER7ベースと富士通のSPARC64 VIIIfxベースの基板が展示されていた
  ようだが、技術が分かる人が見れば富士通劣勢は明らかであると分かったはずだ。
  --------------------
これって安藤氏がMYCOMに投稿したBlue Watersと京速の比較記事に対する痛烈な
皮肉になっているような気が…
URLリンク(journal.mycom.co.jp)
  ====================
  POWER7はチップあたり200Wで256GFlopsであるが、富士通は58Wで128GFlops
  であり、富士通の方が約1.7倍、電力効率が良い。
  ====================
Green500の結果あたりが楽しみですね。

349:Socket774
10/01/06 03:17:50 sXSMFjGi
スパコンというよりコンピューター研究予算であの程度あってもいいよ。
副産物の方が重要だからなこの場合。税金でなく企業が金出したケースがSCEだが、
案の定国内の足並み総崩れだしね。評価したのは欧米。
久多良木も日本では総スカンされるのわかっててIBMと手を組むのにやぶさかでなかったのだろう。
米国なら官民問わず研究予算はものすごい額になってるだろう。

350:MACオタ@続き
10/01/06 03:22:01 6srrhf1b
ちなみに上の記事、金田教授のこの指摘は興味深いかと。
  ----------------
  恐れるべきは、マスコミがよく比較するかつて地球シミュレータの計算速度を
  抜いたIBM製「BlueGene」の後継機である20ペタFLOPS級の最新鋭機ではなく、
  POWER7を搭載したIBMが真に本腰を入れて開発する汎用性の高い最新鋭機
  なのである。
  ----------------
POWER7は米国防総省のプロジェクトHPCS (High Productivity Computing Systems)
において、5社 (Cray, HP, IBM, SGI, Sun) -> 3社 (Cray, IBM, Sun) -> 2社 (Cray, IBM)
と3段階の競争試作で勝ち残ったシステムです。
URLリンク(www.darpa.mil)
HPCSの目標設定には『economically viable』の条件がつけられており、フェーズ間の
中間評価でも商業応用を強く意識して実験的なアーキテクチャは排除されたという
話も伝えられています。

偶然なのか真似たのかは判りませんが、京速プロジェクトの方もHPC専用というよりは
そのままSUN互換サーバーに使えそうなSPARC64 VIIIfxをプロセッサとして選択して、
やはり商用サーバーへの採用も狙っているようです。
IBMと正面からぶつかって勝つ算段があるのか、はたまた富士通一社のプロセッサ開
発を助成しただけなのかも見守るべきなのかもしれません。

351:Socket774
10/01/06 03:25:30 sXSMFjGi
というわけで自説の為に公開処刑狙ってるだけな気がする仕分け人には反対。
処刑した後、類似予算も立ち上げにくくなる。そこまで考えてないだろうな。
原資はどうあれもうちょっと研究関連職潤ってもいいと思うんだ。
日本がここまで消極的なのは貧乏性が故かもしれないし。上の無理解はどこだって変わらないよ。

352:MACオタ>レトリック さん
10/01/06 03:27:04 6srrhf1b
>>341
  -----------------
  二度と俺の目に付くところに現れるな。
  -----------------
他人の掲示板で中二病的に粋がる、この手のカキコミを久しぶりに見たような(笑)

353:MACオタ>351 さん
10/01/06 03:30:37 6srrhf1b
>>351
  -----------------
  研究関連職潤ってもいいと思うんだ。
  -----------------
総枠は増えませんから、京速に投入された予算の分だけ他の研究が割を食う
というのが世の道理なんですが?

354:Socket774
10/01/06 03:34:02 V23zueww
総枠は増えません(キリッ
賢しい…

355:Socket774
10/01/06 03:34:14 sXSMFjGi
ロケット予算も日米比べた場合むこうは国際戦略上の予算だから仕方ないが
確かNASAと比べ日本は人的、資金的にも1/20程度と聞いた事がある。
官だの民だの拘ってる場合じゃないっていう。

356:Socket774
10/01/06 03:36:45 sXSMFjGi
>>353
金は天下の回り物だよ。
ともかく総量が少なく枯渇してるせいで取り合い、
ねたみ合いになるのだと思う。

357:Socket774
10/01/06 12:41:27 LFZP4Sb3
MACヲタはPowerPCになるとハッスルハッスルするなw

358:Socket774
10/01/06 22:57:09 QdfZ+jlU
ほんとIBM大好きなんだから

359:Socket774
10/01/08 21:30:05 syqWnIgs
IBMオタに改名するベキダ

360:Socket774
10/01/09 07:15:48 1Hj9SiNW
URLリンク(gigazine.net)

361:Socket774
10/01/09 10:29:25 gSY2WyGA
これがDSに載るの?

362:Socket774
10/01/09 11:56:19 5ypPQ+Ot
スマートフォン市場ではSnapdragonとの戦いに勝てそうにないな

363:Socket774
10/01/09 16:59:57 Tp8xxeNS
保守age

364:Socket774
10/01/10 20:13:46 iI2ZPkS7
本当の意味で集積回路だからな>モバイル

365:Socket774
10/01/11 20:21:45 lOdJqdSC
何となく気になったので聞いてみるけどGPUでよく聞くFMADと
Bulldozerのブロック図で見たFMACってのはまったく違うもの?

366:MACオタ
10/01/11 22:12:02 sd9ZhS4B
NvidiaのFermi、なんとか量産に漕ぎ着けたようで…
URLリンク(journal.mycom.co.jp)
  -----------------
  この日のイベントはTegraが主役だったが、最後にHuang氏は「NVIDIAのイベントは
  GeForce抜きでは終われない」と、"Fermi"アーキテクチャを採用した「GF100」につ
  いて語り始めた。
  現在、量産段階に入っており急ピッチで生産が進められているという。
  -----------------

367:Socket774
10/01/11 22:24:02 oSx/Cnm7
URLリンク(www.4gamer.net)
同システムは,ご覧のとおりの液冷仕様だが,近づくとものすごい熱を放っていたのが印象的。
PCケースベンダーやOEM関係者が,「NVIDIAの次世代GPUでは,冷却が最大の問題になる」
と口を揃えていた理由がたいへんよく分かるシステムでもあった。

368:Socket774
10/01/11 22:25:53 WT7YPvZF
キャッシュ搭載でようやくまともにshaderが使い物になるな

369:Socket774
10/01/12 03:00:03 hbd0bfPI
>>362
URLリンク(pc.watch.impress.co.jp)

370:Socket774
10/01/12 04:34:21 qNwl3IVW
だがx86ではない

371:Socket774
10/01/12 11:35:07 EfczRBzQ
いいことです

372:Socket774
10/01/12 11:45:10 aeiQwsAS
と思っているのは馬鹿だけです

373:Socket774
10/01/12 12:05:29 8SnHaKjf
Tegra2ってスマートフォン向けとは誰も言ってないよね
タブレット型デバイス向けみたいな事は言われてるけど

374:Socket774
10/01/12 12:19:30 EfczRBzQ
スマートフォンだったらHDムービーの再生は、やるとしても間引き映像だろうしな

375:Socket774
10/01/12 19:36:53 b7/PzBqo
昔話題になったNC辺りか

376:MACオタ
10/01/14 08:16:04 k6CkydoL
次世代Blue Geneである"Sequoia" (BG/Q)の情報が少し出てきたような…


377:Socket774
10/01/14 19:55:53 5zKxy5xD
>>376
どんな感じですか?

378:MACオタ>377 さん
10/01/14 21:57:43 Qfwj0uW3
>>377
検算してみたら、大元のプレスリリースの数字から簡単に導出できる程度の情報でした。
書かなくて良かった…

379:Socket774
10/01/14 22:42:38 5zKxy5xD
>>378
野心的な目標値に思えるのでいったいどんな物が出てくるか興味深いです。

380:MACオタ
10/01/15 20:40:57 B+xNc+Fe
Digitimesがグラフィックカードベンダ筋から拾ってきたFermiの状況です。
発表は3月だが、4月までは入手難らしいとのこと。
URLリンク(www.digitimes.com)
  ----------------------
  Nvidia may see drop in global discrete graphics chip market share in 1Q10
  Monica Chen, Taipei; Joseph Tsai, DIGITIMES [Thursday 14 January 2010]

  Nvidia is expected to see its share of the global discrete graphics chip market
  drop from 65% in 2009 to 60% or even lower due to strong competition from AMD,
  according to sources from graphics card makers.

  Nvidia has refuted the claims saying it expects to see strong demand.

  Although Nvidia plans to launch its 40nm Fermi-GF100 graphics chip in March
  2010, mass shipments are unlikely to start until April, the sources noted. Nvidia
  responded saying its launch schedule remains unchanged.

  On the other hand, AMD has already launched its DirectX 11-supporting 40nm
  ATI Radeon HD 5970, 5870, 5850 and 5750 GPUs and will launch HD 5670, 5570
  and 5450 shortly. The company recently claimed to have shipped a total of two
  million DirectX 11-capable GPUs.
  ----------------------

381:MACオタ
10/01/15 20:44:41 B+xNc+Fe
上記に関連して、TSMCの40nmプロセスの歩留まりが上がらないという記事を
同じくDigitimesが数日前に掲載しています。
魚拓のリンクはAMD次世代スレッドのこちら。
スレリンク(jisaku板:91番)
  -----------------
  Foundry chipmakers, including Taiwan Semiconductor Manufacturing Company
  (TSMC), have been struggling to increase their yields on 40nm to over 70%,
  according to industry sources. The unsatisfactory yield rate has caused
  production for next-generation graphics processors and FPGA (field-
  programmable gate array) chips to run tight.
  -----------------

382:MACオタ
10/01/16 20:10:48 wq4dS+HM
Fermiの歩留まりですが、もう少し詳しい情報が台湾HKEPCより。
URLリンク(www.hkepc.com)
  ----------------------
  但近日外間據消息指出,目前 Fermi 繪圖核心的實際良率僅有約 20 %
  ----------------------
 ・Fermiの歩留まりはおよそ20%程度
 ・このため、3月に発表されても供給状態がまともになるのは4月
 ・一方、AMDのHD5000シリーズの歩留まりは60-80%程度

383:MACオタ
10/01/16 20:46:10 wq4dS+HM
富士通/SUNより発売されたばかりのSPARC Enterprise M3000
(Quad-Core SPARC64 VII/2.75GHz x 1)のSPEC2006が登録され
ています。
URLリンク(www.spec.org)
似たような動作周波数のx86シングルソケットシステムとの比較は下記の通り。

 (base/peak)       int       fp       int-rate    fp-rate
SPARC64 VII/2.75GHz 13.6 / 14.8  15.2 / 15.9  45.4 / 49.1  38.1 / 40.4
Xeon W3520/2.6tGHz  27.4 / 30.7  32.5 / 33.8  94.1 / 101  74.4 / 77.8
Opteron2384/2.7GHz  17.4 / 21.0  19.5 / 21.5  56.9 / 67.7  53.2 / 59.7

クロックだけはx86並になっても性能はも一つの様で…

384:MACオタ@続き
10/01/16 20:55:49 wq4dS+HM
上のカキコミの参考リンクです。
■ SPARC64 VII/2.75MHz (富士通 SPARC Enterprise M3000)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)

■ Xeon W3520/2.67GHz (富士通 CELSIUS M470)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)

■ Opteron 2384/2.7GHz (HP ProLiant DL165 G5p)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)

385:Socket774
10/01/18 18:24:31 aQpiTUrI
SPARC64 VII/2.75MHz→2.75GHzだね
SunとFujitsuは同じ物?

386:Socket774
10/01/19 01:07:33 rKHsCX/F
Xeon(Nehalem-EP)とOpteron(Istanbul)で
組んだので簡単な性能測定結果。評判のNehaってこんなもの?
これならXeon E5540とOp 2431で似たようなモノで、Opのが安い。

Sandra 2009 SP3 Benchmark Result on WinXP-Pro SP3
======================================================
CPU   XEON E5540   OPTERON 2435  C2Q Q6600
Core   2x 4core+HTT  2x 6core       1x 4core
TDP    2x 80W      2x 75W        1x 105W
Clock    2x 2.53GHz    2x 2.60GHz     1x 2.40GHz
Memory 6xDDR3-1066R  4xDDR2-800R   4xDDR2-800
M/B    Super X8DT3   Tyan S2927E    Dell 755
ChipSet  Intel 5520     NFP3600      Intel Q35
------------------------------------------------------
Int.    132GIPS     106GIPS      37GIPS
F.P.    119GFLOPS   102GFLOPS   29GFLOPS
1'Cashe  419GB/s    485GB/s     201GB/s
2'Cache  258GB/s    307GB/s      33GB/s
3'Cache   91GB/s     81GB/s       (Non)
Memory  13.9GB/s    20.0GB/s     4.7GB/s
FP*Mem  1654      2040      174
------------------------------------------------------
MM-Int   239MPix/s    284MPix/s    80MPix/s
MM-FP   192MPix/s    134MPix/s    50MPix/s
MM-Dbl   100MPix/s     73MPix/s    25MPix/s
Cording  761MB/s     1000MB/s     364MB/s
------------------------------------------------------
FileSystem NTSC by LSI_MegaRAID-SAS_RAID5 (4xSATA)
・R.Read  66MB/s      62MB/s      ----
・R.Write  32MB/s      60MB/s      ----
・S.Read  123MB/s     340MB/s      ----
・S.Write  48MB/s     270MB/s      ----
======================================================


387:Socket774
10/01/19 01:08:51 rKHsCX/F
NehalemはInt.とF.P.は速くて、キャッシュは少し遅いだけだから、
キャッシュ内で完了する処理なら「速い」とは言えるし、MultiMedia
のF.P.と倍精度は3割も速い(逆にCording/暗号化は遅い)。

でもメモリーが絡むとガックリ遅くなる。DDR3-1066MHz×3chで
理屈の上ではOpteronのDDR2-800MHz×2chより速い筈なのに。
これなら今回組んだOp.2435(2.6GHz)の下のOp.2431(2.4GHz)で
メモリーが絡む大半の処理では、ほぼ同等性能になると読める。

そんな記事見たことないから質問してみた次第。
Super X8DT3の設定でも狂っているのかな?

これが設定ミスでないなら、OpteronのIstanbuleはNehalemに
負けてないって事になる。・・・・・詳しい人、教えて下され!

388:Socket774
10/01/19 01:09:38 rKHsCX/F
528 名前:Socket774[sage] 投稿日:2010/01/01(金) 12:22:44 ID:lEhCwdPM
TOP500だとNehalem-EPとBarcelonaでコア辺りのクロック性能同じ位だね。
参考になるのかどうか判らないが。
でも実質Intel専用ベンチのSandraで差が付かないってのは凄いな。


389:,,・´∀`・,,)っ-○○○
10/01/19 02:40:36 V4ouHagw
LINPACKってFP演算ユニットの並列度×クロック数が素直に現れるぞ

390:MACオタ>386-388 さん
10/01/19 02:52:40 Xfz8gXKr
>>386-388
コピペなのは存じ上げていますが、検索してみるとあちこちに貼られているようですので
回答しておきます。
  ---------------------
  でもメモリーが絡むとガックリ遅くなる。
  [中略]
  そんな記事見たことないから質問してみた次第。
  ---------------------
流石にSandraは有名ベンチマークですから同種の記事は検索すれば簡単に見つかります。
URLリンク(www.bit-tech.net)
こちらの"unbuffered memory test"は、その一例ですね。それでも注目すべきなのは
メモリレイテンシでOpteronを圧倒している点です。たしかアム虫さんってPentium4は帯域番長
とかで、AMD製品はメモリレイテンシが優秀だから速いって主張していたのでは?

実はメモリ帯域の方も測定法で大きく異なる様で、同じbit-tech.comのistanbulベンチでは
こういう結果が(笑)
URLリンク(www.bit-tech.net)
bit-techの言い訳はこちら。
  --------------------
  We started by retesting the Xeon W5580, as a new version of Sandra, which supports
  Intel's implementation of NUMA, has been released since our original review. These
  new results show that the Xeon W5580 system has significantly more memory bandwidth
  and lower latency than either Opteron system - an important consideration if you're
  running lots of apps together such as a server used to power multiple virtual machines.
  ---------------------

まあ結果を推敲せずに、脳内妄想に一致するとコピペしまくるアム虫さんの習性ががGoogleの
検索結果に晒されただけ…というのが結論ですか。

391:Socket774
10/01/19 18:10:16 L68f3Acx
WinXPってNehalemのNUMAに対応してたっけ?

392:MACオタ
10/01/20 19:46:22 sipYmIQS
今日はTheRegisterより目ぼしいニュースが二つ。
まず、IBMの2009Q4業績の電話会議でIBMのCFO, Mark Loughridge より POWER7
のリリース時期が示されたとのこと。
URLリンク(www.theregister.co.uk)
  -----------------------
  "Later [in Q1], we'll introduce the next generation Power Systems, which will
  deliver two to three times the performance, in the same energy envelope,"
  Loughridge told the assembled Wall Street multitudes on Tuesday.
  -----------------------
 ・今四半期中にPOWER7製品が発表される
 ・45nm CPUプロセスの立ち上がりは順調で、65nm世代より5ヶ月は短かった。
 ・今年中にPOWERサーバーはPOWER7世代に更新される

393:MACオタ
10/01/20 20:09:11 sipYmIQS
もう一つは龍芯3号を使った中共の国産スーパーコンピュータ 『曙光 6000』が
今年完成予定とのこと。
URLリンク(www.theregister.co.uk)
  ---------------------
  Weiwu Hu, chief architect of the Loongson processors developed by ICT, told
  Technology Review that the future Dawning 6000 super, presumably based on
  the quad-core Loogson-3 MIPS-style processor, would be finished by the middle
  of this year and operational by the end of 2010.
  ---------------------
元ネタは MIT Technology Review のこちらの記事。
URLリンク(www.technologyreview.com)
概要は次の通り。
 ・昨年登場予定が今年に遅れた
 ・量産版マスクのテープアウトは昨年12月末。STMicro にて量産開始予定。
 ・遅延した分、65nm世代で8-16コアバージョンが出てくるかもしれない

噂のx86エミュレーション機能に関しては、TheRegs の Morgan 記者によると、IEEE Micro
に掲載された論文、
URLリンク(www.computer.org)
には、x86エミュレーション支援命令の追加によりネイティブコードの70%程度性能で
x86コードが実行できるという記述があるとのこと。

394:MACオタ
10/01/20 20:47:31 sipYmIQS
IBMの昨年第4四半期の業績ですが、CELL/B.E. と Xbox360 CPU の設計サービスで
がっつり稼いだ2006年以来、長期低落が続いていた Microelectronics 部門がちょっと
上向いたとのこと。
URLリンク(www.theregister.co.uk)
  ---------------------
  On the Microelectronics front, chip sales were up 2 per cent in the quarter,
  and Loughridge said that the 300mm wafer baker in East Fishkill, New York
  was nearing full utilization and that 45 nanometer output was sold out again
  this quarter. No doubt some of that wafer baking capacity is being pressed
  into action to crank out Power7 chips and probably the z11 mainframe engines
  too. ?
  ---------------------
最後の一節は Morgan 記者の推測に過ぎませんが、45nm ラインもフル操業体制に
なっているとか。

395:MACオタ
10/01/23 16:14:50 ebeYPP2S
牧野教授の『スーパーコンピューティングの将来』が今月は怒涛の更新ですね。
最新はコレですが、スーパーコンピュータ関係のスレッドでまた怒り狂うネトウヨ
さんが現れそうな…
URLリンク(www.artcompsci.org)
  --------------------
  そういう見積もりをもってくるのは、そういうので買ってくれる人がいるからですから、
  買うほうに問題がある、ということではあります。国立大学の計算機センターや、
  理研の次世代にしても結局は同じ問題、というところもあります。ハードウェアの思想
  が15年遅れ、というだけではなくて、計算機を買う側の意識が、計算機というのは大変
  高価なものであるという 30年くらい前の意識のままである、ということです。
  --------------------

396:MACオタ
10/01/23 16:52:14 ebeYPP2S
ここのところしばらくカキコミしていなかった間の POWER/PowerPC 関係の大きな
ニュースは IBM の新組込コア "PowerPC 476FP" です。
URLリンク(www-03.ibm.com)
 - LSI との共同開発
 - 1.6GHz @ 45nm SOI
 - 1.6W, 3.6mm^2
 - 256k - 1MB L2 support
 - PLB6 local bus, support 1 - 16 core

順当に考えると、これにHPC専用 Book-E 規格 APU を組み合わせたモノが
"Sequoia" (BG/Q) のプロセッサになりそうです。

実は今週もこのPPC476、ちょっとニュースに顔をだしていました。
URLリンク(www.eetimes.com)
  -------------------
  LSI announced in September it helped IBM Corp. developed the multicore PowerPC
  476FP. A four-core version running at up to 1.6 GHz is now available from LSI in
  TSMC's 40nm process.
  -------------------
共同開発の権利なのかどうかは不明ですが、TSMCでも製造できるようです。同時に
LSIは 500MHz eDRAM を顧客の設計に提供するというアナウンスもしてます。

ところで私にはハイエンドネットワークプロセッサと言えば、この辺のコアを使用した
SoC 製品になるような気がするのですが、>>312の "Wire-Speed Power Processor"
の正体が何なのかは、来る ISSCC の発表が楽しみです。

397:Socket774
10/01/23 17:59:53 pI7SLEoh
また自分を棚にあげて牧野はしょうもないこと書いてるな

398:Socket774
10/01/23 19:02:05 uTurV4UX
銀ピカの棚?

399:MACオタ
10/01/23 21:03:13 Mz/BEPLA
>>393の龍芯3号ですが、一昨年のHot Chips 20のプレゼン資料が公開されて
います。
URLリンク(www.hotchips.org)
個人的には今までの報道を勘違いしていた部分がありました。
 - 龍芯3は MIPS64 の "GS464" コアと SIMD プロセッサの "GStera" コア
  のヘテロジニアス構成
 - 報道中で出ていた『コア数』は上記の2種類のコアの合計


400:MACオタ@補足
10/01/23 21:07:36 Mz/BEPLA
そういう訳で、今年のTOP500で5位に輝いたGPGPUクラスタ天河1号も
含めて、中共のスーパーコンピュータ・プロジェクトはヘテロジニアス
路線に専念していると言えそうです。

401:MACオタ
10/01/23 21:28:12 Mz/BEPLA
ちょっと古いニュースですが、>>382-383あたりで書いたTSMC 40nm プロセス
の歩留まり、現状で解決されているというニュースが流れています。
ソースは Digitimes ですが、すぐ読めなくなるので DailyTech の記事を
引用しておきます。
URLリンク(www.dailytech.com)
  -----------------------
  DailyTech spoke with a TSMC spokesperson yesterday, who stated
  that TSMC's 40nm yields are now "approximately at the same level"
  as the more mature 65nm process. Semiconductors are made in
  lithography chambers, and the process can be comprised of several
  hundred steps. Usually a new manufacturing process is developed
  and refined in a test fab and then transferred to production
  lines in a process called Chamber Matching. This theoretically
  ensures standard conformity and higher yields. There were several
  problems with chamber matching on TSMC's 40nm lines, leading to
  yield problems despite using the same process and recipes.
  -----------------------

402:Socket774
10/01/24 02:32:46 L+Rd/SCB
>>399
オタさんとあろうものが勘違いですか

たしか、英語だったけどそのものずばりの記事があったよ
ちょっとブクマを参照できない状況にあるので
アドレスは貼れないんだけど

403:MACオタ
10/01/24 03:09:57 Q/AWDBGf
>>396に書いた PowerPC 476, もう少し調べてみました。

まずこちらのプレゼン資料は概要を判りやすく書いてあります。
URLリンク(www.power.org)
HPC向け SoC に使用される筈の Book-E APU (演算器やレジスタの内部拡張仕様)に
関しては、この資料の P.6 に次のような記述があります。
  ------------------------
  ・ High performance out-of-order auxiliary processor pipeline interface
   - Support the floating point unit
   - Support for future accelerator extensions such as VMX
  ------------------------
ますますもって、Sequoia のベースとなる公算は大きいかと。

更に 32nm 世代までは予定に入っているようで、こちらのプレゼンの P.7 にロードマップ図
が掲載されています。
URLリンク(www.cn.power.org)
 - 476FP 12S: 45nm世代, 1.6GHz, 3.5mm^2 / 1.6W
 - 476FP 13S: 32nm世代, 2.1GHz, 2.5mm^2 / 1.3W
その他、P.34にはチップ内バスの PLB6 の帯域幅が 102.4GB/s であること、P.35 には
PPC440 直系である PPC464FP と比較して 7段パイプラインから 9段パイプラインにした分
ステージあたりのロジックは 37FO4 から 26FO4 に減少し、動作クロック向上に寄与している
ことが記されています。

積極的なロードマップから見ても、どうやら IBM の今後の組込向けコアはこの系列で決定の
ようで、現世代のゲーム機に使用された PPE / PX コアはお払い箱になったようです。
次世代 CELL/B.E. があるとすれば、制御用 POWER ISA コアも PPC470 系列の設計になる
のではないでしょうか。

404:MACオタ>402 さん
10/01/24 03:13:00 Q/AWDBGf
>>402
  ----------------
  オタさんとあろうものが勘違いですか
  ----------------
てっきり GS464 も GStera も同じ MIPS64 コアで、GStera の方には Altivec や SSE のような
かたちで SIMD ユニットが追加されているモノと解釈してました。

405:MACオタ
10/01/24 03:40:14 Q/AWDBGf
龍芯情報、少し追加。
URLリンク(blogmag.ascii.jp)
  -----------------------
  これが今までの龍芯のように「創ったという結果を残して終了」ではなく、スパコン
  「曙光」のロードマップでは、曙光6000に8000超の龍芯3号を載せるとしているし、
  また上海のお隣、江蘇省政府は15万台の龍芯3号搭載PCを購入することを約束し
  ている。後者は額にして、2009年年末に5000万元(約6億8000万円)、今年と来年で
  それぞれ1億5000万元(20億円強)を支払うのだそうだ。15万台を50億円弱で購入す
  るのなら、平均して1台あたり3万円強となる。
  -----------------------
山谷氏は過去記事で龍芯を散々ネタ扱いしてきましたが、今回は好評価のようで…

406:Socket774
10/01/24 10:23:08 L+Rd/SCB
>>404
たしか↓の記事だったかな?

Hot Chips: the third Dragon CPU
URLリンク(www.h-online.com)

407:MACオタ>406 さん
10/01/24 11:33:04 bsqgJCTe
>>406
ご紹介ありがとうございました。

それにしても>>336-337 に書いた、

『CELL/B.E. を叩くのに懸命な日本…
 一方、欧米ではスーパーコンピュータ用コアとしておいしくいただいた。』

という構図も興味深いですが、中共のヘテロジニアスへの傾倒も,

『Intelを持ち上げて、成功した自国開発のヘテロジニアスチップ CELL/B.E. を
 引きずりおろすのに必死な日本。一方、中国はヘテロジニアスコアにx86
 エミュレータを実装していた。』

という対比でみると、なかなか(笑)

408:Socket774
10/01/24 12:28:00 1LpyWn63
ヲタさんもういいんだよ、cellは終わったんだよ・・・

409:MACオタ
10/01/24 18:04:32 7sUNozEW
>>396, >>403 で紹介した PPC476FP に関して GCC ML に情報が出ているようです。
URLリンク(gcc.gnu.org)
 - 推測通り FPU は APU インターフェース接続で、FPU 無しの PPC476 もありえる。
 - 命令レイテンシ
  単純整数演算(加減算、論理演算、等): 1
  複雑整数演算(整数乗算、SPRアクセス、等): 4
  整数除算: 11, non-pipelined
  ロード/ストア: 4 (アップデート付きアドレシングのペナルティなし)
  浮動小数点演算: 6
  浮動小数点除算: 19 (単精度), 33 (倍精度), 共に non-pipelined

ところで>>403 で書いたこれですが、大きな勘違いで PPE と違って 32bit コアのPPC47x
では無理でした。
  -----------------
  次世代 CELL/B.E. があるとすれば、制御用 POWER ISA コアも PPC470 系列の設計になる
  のではないでしょうか。
  -----------------

410:Socket774
10/01/24 18:24:07 WHluXdx0
>>409
ブルドーザの目指してるところってこれじゃないのか

411:MACオタ
10/01/24 18:58:23 oeZiwZQt
それでは 64-bit 組込コアのロードマップはどうなっているかというと、"PowerPC A2"
という情報が世間では飛び交っているようです。
これもちゃんと根拠があったようで、GCC に設定が追加されていました。
URLリンク(gcc.gnu.org)
 - こちらはちゃんと PPC64。
 - 組込向けコアなのは間違いないらしく、APU 接続演算リソースの定義がある。
 - in-order コアの様に見える
 - 乗除算専用パイプラインがあるらしい (DSP?)
 - MT は止めた?
 - 命令レイテンシ
  整数乗算: 1 (32bit), 6 (64bit)
  整数除算: 32 (32bit), 65 (64bit), 共に non-pipelined
  ロード: 5 (整数), 6 (fp)
  ストア: 1 (整数), 2 (fp)
  浮動小数点演算: 6
  浮動小数点比較: 5
  浮動小数点除算: 59 (単精度), 72 (倍精度), non-pipelined
  平方根: 65 (単精度), 69 (倍精度), non-pipelined

PPE直系の設計の様に見えます。文中に"SPE"なる記述も…


412:Socket774
10/01/24 19:04:30 kTtH3DnY
>>411
次のCELLにはこれが付くのか

413:MACオタ@訂正
10/01/24 19:26:58 oeZiwZQt
>>411 はちょっと訂正。
  ------------------
   - MT は止めた?
  ------------------
a2.md に記されたレイテンシ記述と、rs6000.c に記されたものが、ほぼ
2:1 の比率になっているようですから、2-way FGMT で間違い無さそうです。

414:MACオタ>412 さん
10/01/24 21:06:48 oeZiwZQt
>>412
  ----------------
  次のCELLにはこれが付くのか
  ----------------
むしろ次期 XCPU かと。

参考までに PPU の記述と比較してみました。
URLリンク(gcc.gnu.org)
a2.md の中で演算リソースの割付が"nothing"のモノは、枠組だけ用意して
数値は適当な値を入れてあるだけっぽいので、もっともらしい値だけ比較します。
 64bit整数乗算: 9 cycles (PPU) -> 6 cycles (A2)
 32bit整数除算: 32 cycles (PPU) -> 32 cycles (A2)
 64bit整数除算: 64 cycles (PPU) -> 65 cycles (A2)
 浮動小数点演算: 10 cycles (PPU) -> 6 cycles (A2)
 浮動小数点ロード: 7 cycles (PPU) -> 6 cycles (A2)
 浮動小数点ストア: 13 cycles (PPU) -> 2 cycles (A2)
 浮動小数点比較: 6 cycles (PPU) -> 5 cycles (A2)
 単精度fp除算: 74 cycles (PPU) -> 59 cycles (A2)
 倍精度fp除算: 74 cycles (PPU) -> 72 cycles (A2)
 単精度fp平方根: 84 cycles (PPU) -> 65 cycles (A2)
 倍精度fp平方根: 84 cycles (PPU) -> 69 cycles (A2)

・整数DSP用のパイプラインが新設されて、一般の処理を行う一般整数パイプラインの負荷が
 軽くなった
・全体にパイプラインが短くなった
というのが改善点なのでしょうか?

415:MACオタ@補足
10/01/24 21:14:11 oeZiwZQt
>>411 でキャッシュに関する記述を書き忘れたので、追記しておきます。
 - 64-byte キャッシュライン
 - 16KB L1
 - 2MB L2
 - 16本の自動プリフェッチストリーム

キャッシュラインのサイズを半分にして、多少は利用率を上げた一方で、L1 は PPU より半減
ですか…

416:MACオタ
10/01/24 21:40:25 oeZiwZQt
こちらも昨年秋のニュースですが、AMCC の Titan コアを搭載した製品が発表されています。
Titan の発表ってもう2年以上前だったりするのですが…
スレリンク(jisaku板:392番)
URLリンク(pc.watch.impress.co.jp)
AMCC のリリースはこちら。
URLリンク(investor.appliedmicro.com)
  -----------------------
  The APM 83290 includes a processor subsystem that integrates two Titan cores
  based on Power Architecture technology, delivering frequencies of 1.5 GHz per core.
  The Titan core is a superscalar, dual-issue, out-of-order core designed to achieve
  industry leading single thread performance on a per clock basis. Along with high
  performance, innovative circuit design techniques enable the APM 83290 to deliver
  speeds of 1.5 GHz in 90nm bulk CMOS while comparable designs require 45nm SOI
  process technology to achieve similar operating speeds.
  -----------------------
今となってはあらゆる点で PPC476 に劣る訳ですが、リリースにあるように 90nm バルクプロセス
で同レベルのクロックを実現しているのは立派と言えるのかも。
量産は今年Q1なので、476より早く登場するのも確かです。

417:Socket774
10/01/24 21:47:06 kTtH3DnY
>>414
なぜXCPU、CELLとは考えないの?

418:MACオタ>417 さん
10/01/24 21:54:55 oeZiwZQt
>>417
PPUの開発リソースをMSに横流しされた恨みをそう簡単に忘れるとも思えませんが…

419:Socket774
10/01/24 22:00:13 kTtH3DnY
>>418
それが根拠なの、根拠が弱いと思う

420:MACオタ>419 さん
10/01/24 22:08:46 oeZiwZQt
>>419
  --------------
  根拠が弱いと思う
  --------------
では言い換えましょう。チップ開発能力が殆ど無いMSのために、半導体開発の研究所を
持つSONYが開発費を共同で負担してあげる必要があるでしょうか?

421:MACオタ@補足
10/01/24 22:20:51 oeZiwZQt
>>419
真偽はともかく、こういう報道もありました。
URLリンク(pc.watch.impress.co.jp)
  --------------------
  ちなみに、Cell B.E.の開発をSCE(ソニー)、IBM、東芝の3社のエンジニアで行なった
  米オースティンのSTI Design Centerには、現在、SCEのアーキテクトチームはほと
  んど残っていないと言われる。
  --------------------
現時点で未発表の"A2"ですから、昨年初頭の段階はちょうどアーキテクチャ設計の最中
だった筈。その時点でSCEの技術者が手を引いていたすれば…

422:Socket774
10/01/24 22:40:27 kTtH3DnY
>>420
前提がMSありきで、共同開発orリークというのがおかしい
>>421
今回のはpower関連だからとも取れる

423:MACオタ>422 さん
10/01/24 23:06:10 oeZiwZQt
>>422
IBMは商売に関しては悪の権化のような会社です。客から開発費をふんだくった上、
開発した製品の販売権も手に入れるという所業を繰り返しています。

今回話題にしている PPE, PPC476 も全て例外ではありません。
 ・PPE: SONYの資金で開発 -> MSに派生製品をライセンス
 ・PPC476: LSI Corp. の資金で開発 (>>396参照) -> コアはIBMブランドで販売
URLリンク(www-01.ibm.com)

さて、A2の開発費を出した客は誰でしょうか?誰が A2 を必要としているかで判るかと。

424:MACオタ@続き
10/01/24 23:36:36 oeZiwZQt
ちょっと CELL/B.E. 開発の現状を整理してみましょう。

龍芯3号と同じ Hot Chips 20 で東芝は SpursEngine を発表しています。資料はこちら。
URLリンク(www.hotchips.org)
p.17を見れば判りますが、SPEは単にバルクSiで製造しているだけでなく、完全にレイアウト
設計をやり直しています。SpursEngine が PPE を持たないのも周知の通りです。

一方で IBM が HPC 向けに設計した PowerXCell のレイアウトはこんな具合。
URLリンク(www.power.org) (P.18参照)
倍精度ユニットは正に『ポン付け』としか言い様がありません。最新の CELL/B.E. のユーザー
ズマニュアルを読めば書いてますが、PowerXCellで新たにサポートされたDDRメモリの
インターフェースも、XDRメモリコントローラの先にコンバータが『ポン付け』…

IBMの設計がダメとは言いませんが、地道な設計の最適化を行うような人的リソースが
無いのは明らかです。そんなIBMに改良設計を頼むような顧客って誰でしょうか?

425:MACオタ@続き
10/01/25 00:01:16 EtwJE1f0
もう少し大胆に予測してみましょう。

まず、PPC476。 2-issue の PPC440 シリーズから一気に 5-issue OoOE に高性能化を
図りました。共同開発した LSI Corp. はネットワークプロセッサへの応用を考えているでしょう
が、これって仕様としては明らかに PPC750 (PowerPC G3) シリーズの後継に当たります。
おそらく IBM が狙う顧客は任天堂でしょう。
APU インターフェースには小変更した VSX ユニットを搭載して、従来の倍精度FPUレジスタ
応用の単精度2並列SIMD命令をサポートすると共に、Altivec でSIMD幅2倍の性能向上も
図るものと思われます。

PPC-A2については、SONYとMS以外にはさっぱり売れなかったPPEをあえて改良したという
ことは、どちらかの会社が開発を依頼したことが間違いありません。しかし、それに留まらず
IBMの狙いは両方に売って大儲けすることです。
>>424 に書いたように、より開発依頼をする動機があるのはMS。しかし舶来信仰の日本企業
も引き続きパートナーシップを継続しようとする可能性はあります。

ここで注目すべきは、CELL開発中止のリーク。
URLリンク(www.itmedia.co.jp)
これもIBMのいつものやり方で、過去にはAppleのIntel移行の際にもPowerPCの極秘ロード
マップが半ば意図的にIBMのホームページに置いてあったことがありました。
メディアを利用したFUDはIBMのいつもの手口です。CELLがネタになっていることから、ター
ゲットはSONYでしょう。SONYは疑惑の2社のうち、積極的じゃ無い方ということになります。
従って A2 の顧客は MS でしょう。
SONYがIBMのFUDに掛かったか、否か、は現段階では不明ですが PS4 が一番先行不明
ということになりそうですね。

当たるか外れるかは数年後のお楽しみ。

426:Socket774
10/01/25 00:19:36 X8K8/API
ポン付けワロタw 正しくモジュール志向な設計方法取ってんなw

427:Socket774
10/01/25 00:23:39 7c1nIx4k
なんかSONY信者さんが狂ってますね

半導体開発の研究所を持つSONYさんがなぜIBMに開発を委託してるんでしょ?
単にMSと同じでCPUのような大規模プロセッサの開発能力がないからでしょ?

428:MACオタ>427 さん
10/01/25 00:27:37 EtwJE1f0
>>427
  ------------------
  CPUのような大規模プロセッサの開発能力がないからでしょ?
  ------------------
外人様に開発していただいたプロセッサを、最適化しつつシュリンクする術に長けている
ことはPS2用チップで証明済みかと?

429:Socket774
10/01/25 00:28:40 7c1nIx4k
>>428
うん だから開発する能力はないんでしょ?

430:Socket774
10/01/25 00:47:13 D0vEs2EC
MSはAMDに依頼する可能性も高いから
どうなるかはわからんな。CPUもGPUも
同一会社開発、製造の方が何かと楽で安心だろうし。

431:Socket774
10/01/25 00:48:15 uD0VHped
これでSONY信者と読み取ってしまう思考能力はある意味跳躍してるな。

つかSONYはPPE'なりA2なり使うしかないじゃん。
x86プロセッサーをSPEの頭になんか無理なんだし。

432:Socket774
10/01/25 01:02:39 oS0z0R8a
>>425
IBMによるFUDか…
後藤氏が最近になって拡張版CellをPS4に載せる計画が白紙になったと言ってたが…時期的に合致するのかな

433:Socket774
10/01/25 01:05:03 cfEItLPZ
枝葉末節に噛み付いてでもソニーを貶めたくてしょうがないんだろうなw

>>425
2010年にPowerXCell 32ivってロードマップはまだ現存してそうなの?
全然出てきそうな気配がないけど。

434:MACオタ>433 さん
10/01/25 01:13:48 EtwJE1f0
>>433
  ----------------
  2010年にPowerXCell 32ivってロードマップはまだ現存してそうなの?
  ----------------
もう今年は2010年ですから消えたのでは?
ただし、"A2"により PPE' 相当のコアが現存したことが確認された訳です。

435:Socket774
10/01/25 01:15:33 gNrlrMWP
コンソールの世代間の性能差となるとやっぱ一桁くらいは欲しいから、
まだちょっと早いんじゃないかなあ。

436:MACオタ>435 さん
10/01/25 01:18:52 EtwJE1f0
>>435
次世代 CELL 用に A2 造ったけど、SONY が手を引いちゃったのでコア仕様だけ
公開して客待ちという可能性も無いとは言えませんか…

437:Socket774
10/01/25 01:26:11 gNrlrMWP
まあチップじゃなくてコアだからあってもいいのかもね。
32SPEのチップとか言う話だと今年大量に使われることはまずないけど。

438:Socket774
10/01/25 01:43:52 eHzXwpwP
そういやPS2のEEってかなり速いらしいね
ゲーム使用に限定するなら世代の違うPPEと比べても遜色ない性能だとか

439:Socket774
10/01/25 09:41:10 xp4UgILQ
ゲーム用CPUは暫し休憩だよ。
MSは現行チップを45nmに移行させ、かつ、省電力にしないと次の6 or 8コアに
取り組めない。SCEはチップ面積的に45nm世代は無理。いずれも32nm世代以降
だが、両社爆熱での品質不良や高価格でWiiに完敗した経験から、32nm世代で
は無理せず、その次の22nm移行前後位しか次のゲーム機は出しづらい。
しかし、CPU設計のコア部分はもう大幅変更する力は両社とも残っていない
から、現行コアの改良だけなら急いで研究開発してその技術を他社に横流し
されたら涙目だし。
ゲーム機の三国志状態は続くし、ソフトメーカーのマルチ化は続くから、
移植の容易さを確保する必要があるので、極端に変わるとは思えないしね。

440:Socket774
10/01/25 20:46:09 vjDAiAJY
ゲーム機自体は、携帯機が主戦場になっちゃってるからねぇ…。

省電力コアとか機能の取捨選択という部分では面白いし
組み込み系チップのハイパフォーマンス化を先導するのだけど
スパコンとかそういうレベルの話では無いからね。

441:Socket774
10/01/25 21:30:04 968avAjx
それにしても、22nmとそれ以降って、まともに微細化がすすむのかねえ。
EUVになるのかEBになるのか。いずれにしてもすごいコストだ。
ゲーム用ならスループットの高いEUVが必要だろう。
代わりの3DLSIのロードマップもあっちこっちで出ているが、こないだの学会じゃ大手はみんな及び腰。
2015年に密結合の3DLSIとか書かれているが、3年5年遅れても驚かんぞ。

442:Socket774
10/01/25 21:38:26 kt559r2q
台湾が新しいリソグラフィ技術を考案しましたよ
URLリンク(journal.mycom.co.jp)

とは言えこういうのがすぐに物になるとも思えんが

443:Socket774
10/01/25 21:51:41 968avAjx
>442
これはただのEBの変種だからな。
EBの最大の問題はスループット。
この問題を解決する方法は、マルチビームとか昔から研究されているが
まともな形になったものはまだない。

少量な試作に使うくらいなら何とかならんこともないだろうが。

444:Socket774
10/01/25 22:16:56 kt559r2q
ま、確かに
現行の方式と比べて二桁ほど足りないんだっけか
装置価格との兼ね合いもあるけどまだ話にならんね

445:MACオタ
10/01/25 23:11:41 JoSA0K5J
どうやら PPC A2 は思ったより大物な気がしてきました。
命令セットの一覧が Binutils ML に投稿されています。
URLリンク(sourceware.org)
POWER5までの 64bit POWER サーバーの命令の全てと、POWER7 命令の一部を
サポートする上、多くの新命令が追加されています。
  ----------------------
  * ppc-opc.c (powerpc_opcodes): Add eratilx, eratsx, eratsx.,
  eratre, wchkall, eratwe, ldawx., mdfcrx., mfdcr. mtdcrx., icswx,
  icswx., mtdcr., dci, wclrone, wclrall, wclr, erativax, tlbsrx.,
  ici mnemonics. Update other mnemonics where required.
  [略]
  + { "ppca2", (PPC_OPCODE_PPC | PPC_OPCODE_CLASSIC | PPC_OPCODE_ISEL
  + | PPC_OPCODE_POWER4 | PPC_OPCODE_POWER5 | PPC_OPCODE_CACHELCK
  + | PPC_OPCODE_64 | PPC_OPCODE_PPCA2),
  + 0 },
  [略]
  +{"bpermd", X(31,252), X_MASK, POWER7|PPCA2, PPCNONE, {RA, RS, RB}},
  -----------------------
といった感じ。同時に、Freescale e500 の命令の多くもサポートしているようです。
例えば、こんな風。
  -----------------------
  +{"dcbtstep", XRT(31,255,0), X_MASK, E500MC|PPCA2, PPCNONE, {RT, RA, RB}},
  -----------------------
仕様はてんこ盛りな訳ですが用途は何なんでしょう…というか、結局これが今年のISSCCで
発表される"A Wire-Speed Power Processor" (>>312参照)なのでは?

446:MACオタ@補足
10/01/25 23:32:49 JoSA0K5J
自分のカキコミを読み直して、単なる腐れルーマーの類だと思っていた
『PS4にPOWER7が搭載される』というネタの大元は、IBMが"A2"コアを
SONYに売り込んだという話が元になっているのではなかろうかという
気がしてきました。
URLリンク(gaming.hexus.net)
ちなみに binutils のリポジトリを掘っていくと、"e500mc64" なる名前が登場します。
URLリンク(sourceware.org)
  ----------------------
  { "e500mc64", (PPC_OPCODE_PPC | PPC_OPCODE_BOOKE | PPC_OPCODE_ISEL
   | PPC_OPCODE_PMR | PPC_OPCODE_CACHELCK | PPC_OPCODE_RFMCI
   | PPC_OPCODE_64 | PPC_OPCODE_POWER5 | PPC_OPCODE_POWER6
   | PPC_OPCODE_POWER7),
   0 },
  ----------------------
どう見ても、Freescale QorIQ の 64bit 版な訳ですが、POWER7 命令もサポートしているよう
に見えます。
果たしてサマセット研時代のようなPOWER陣営大連合が果たされるのかどうか…

447:Socket774
10/01/25 23:49:24 XnEjUZhf
PPC A2のお披露目に期待age

448:MACオタ
10/01/26 00:17:14 hWVdbD19
POWER7ですが、ISSCCでの論文発表と同時に製品も発表されると言うことになりそうで。
URLリンク(www.itjungle.com)
  ------------------------
  Power your planet.

  In February, IBM will introduce the next generation Power Systems--the first of a
  family of systems and storage designed to meet the demands of a smarter planet.
  From the chip and virtualization capabilities all the way through to the operating
  system, middleware and energy management, Power Systems from IBM are integrated
  to help support the complex workloads and dynamic computing models of a new
  kind of world.
  Power Systems--the future of Unix servers. They're coming. Smarter systems for a
  Smarter Planet.

  ibm.com/poweryourplanet
  ------------------------
ソースは Wallstreet Journal に掲載された新聞広告だそうですが、確かに…
URLリンク(www-03.ibm.com)


449:Socket774
10/01/26 02:05:17 2Kcd+3ZS
MACオタさん洞察すばらしいですね。
某社ではこのA2のことで話題が持ちきりでしたw

450:Socket774
10/01/26 12:16:06 OKvycky1
各地でMACオタさんの株が上昇しています↑

451:MACオタ
10/01/26 21:39:51 bF9XPRSO
PPC A2 が ISSCC で発表される "Wire-Speed Power Processor" だとすると、
アブストラクトには、こうあります。
URLリンク(submissions.miracd.com)
  --------------------
  A 64-thread simultaneous multi-threaded processor uses architecture
  and implementation techniques to achieve high throughput at low power.
  Included are static VDD scaling, multi-voltage design,
  clock gating, multiple VT devices, dynamic thermal control,
  eDRAM and low-voltage circuit design. Power is reduced by >50% in a
  428mm2 chip. Worst-case power is 65W at 2.0GHz, 0.85V.
  --------------------
PPUより大規模そうな仕様にしては、16-core のチップ全体で 65W@2GHzは
現実的な数字に見えます。
それでも 4 Flops/Cycle 程度の APU を搭載したとして、2GHz でおよそ 2GFlops/W。
チップ単体でこれでは、システム全体で3GFlops/W を狙うと言われる Sequoia 用の
プロセッサでは無さそうに見えますが、さて。

452:MACオタ@補足
10/01/26 21:47:59 bF9XPRSO
A2 = Wire-Speed Power 説ですが、RealWorldTech 掲示板で Wes Felter 氏が思わせぶり
なカキコミをしていますね。
URLリンク(www.realworldtech.com)
  ------------------------
  >Is there any primary source about the A2, or hard evidence of it at all, besides
  >this file in GCC?

  Be patient. (But not too patient.)
  ------------------------
ちなみに Felter 氏はこんなヒト。
URLリンク(felter.org)

453:MACオタ
10/01/26 22:03:10 bF9XPRSO
Freescale の 64bit Book-E プロセッサ, e500mc64 の方ですが、GCC の
リポジトリに Machine Description が置いてありました。
URLリンク(gcc.gnu.org)
  -----------------
  ;; e500mc64 64-bit SU(2), LSU, FPU, BPU
  ;; Max issue 3 insns/clock cycle (includes 1 branch)
  -----------------
パイプライン構造、レイテンシ共に e500mc (QorIQ) と変わらない様ですから、
上限 2GHz 程度の普通の上位組込コアの様です。
Freescale は e500 コアで Altivec をサポートするつもりは無い様ですから、興味深い
応用は無さそうですね。


454:Socket774
10/01/26 22:17:50 rUrHKrVa
>>453
XBOX用って言っていたのは何だったのか?勘違い?

455:Socket774
10/01/26 22:40:35 z9y0xD40
やっぱりIBMオタに改名するベキダ

456:MACオタ>454 さん
10/01/26 22:40:38 bF9XPRSO
>>454
  -----------------
  XBOX用って言っていたのは何だったのか?勘違い?
  -----------------
命令セットの増強を見ると、単純な PPU/PX 改良版では無かったようです。

もっともBMが客も決まっていないプロセッサを開発する訳がありませんから、A2 に関
しては XCPU 後継として使われる可能性があります。しかし、その場合は VMX128 後継
となる APU を別途開発する必要がありますから、もう少し先の話では?

実現するとすればバリア同期やコア単位の電力管理に役に立つ "Wait" カテゴリの命令
が大きく増強されているようですから、8コア以上のマルチコアとして実装されそうですね。

457:Socket774
10/01/26 22:44:56 rUrHKrVa
>>456
先走っての勘違いって事か
先走りすぎだなMACオタ

458:MACオタ
10/01/26 22:48:24 bF9XPRSO
新聞報道されていた京速の機密文書が公開されたようです。
URLリンク(www.mext.go.jp)
作業部会での悲惨な評価はp.13からの資料にあります。
  -------------------
  ・ スカラ部による性能目標達成のためには、ベクトルは完成が遅れてもやむを得ない。
  ・ 現状では、世界一奪取に対する貢献度が見えない。
  ・ 統合アプリはない、統合Linpack はやらない、ということなので、ベクトル部を継続する意義
   はほとんど無い。
  ・ ベクトルで3ペタ達成可能であるならば、作る意味はある。3ペタが達成されないならば意義
   は低い。
  ・ メモリーのクロックが遅くなったベクトル計算機では、既存プロクラムの継続利用以外のメリ
   ットが無くなりつつあり、1ノード毎にばらばらに使うのでなければ、システム構成として見
   直すべき時期に来ている。
  ・ 現在のベクトル部詳細設計では、メモリバンド幅・演算速度比がベクトル計算機として
   効率的に動作するには小さすぎる。また、電力が世界の状況と比較して過大である。し
   たがって、製作を行うことには、地球シミュレータ以来のソフトウェア資産を継承する
   以外の意義は少なく、仮に中止したとしてもその影響は限定的である。
  -------------------
NECって自主的撤退と言うよりは、切られたのでは?

459:Socket774
10/01/27 10:17:34 GBdUBjOh
携帯型コンピュータのプロセッサ、「2013年にはARMがx86を超える」
URLリンク(www.eetimes.jp)

460:Socket774
10/01/27 12:51:54 inAvk0vH
2004年にはItaniumがx86を超える(キリッ

461:Socket774
10/01/27 21:27:00 GJygqFJt
すでに8086くらいは超えてるな

462:レトリック君
10/01/28 02:06:01 vLn9Fpin
>>461
志村ーッ、2004だってばw

463:Socket774
10/01/28 07:59:29 cxikDypa
Apple A4のベースになってるARMって何なのか分かる人いる?
資料が見つからない…

464:Socket774
10/01/28 21:11:18 pv6sxUZX
なんで、出来合いのチップを調達せずに、わざわざ自前で用意しようと思ったんだろうな?



次ページ
最新レス表示
レスジャンプ
類似スレ一覧
スレッドの検索
話題のニュース
おまかせリスト
オプション
しおりを挟む
スレッドに書込
スレッドの一覧
暇つぶし2ch