CPUアーキテクチャについて語れ 16at JISAKU
CPUアーキテクチャについて語れ 16 - 暇つぶし2ch100:Socket774
09/11/14 12:26:29 kkL1fagD
>開発力維持の為の目標なんだが

既存のシステムを超える性能を設定して開発すれば
結果的に出来た物が「完成時に」一位になるわけだからな。

>そもそも欧米に勝てるレベルでもないし

米はともかくEU圏はそもそもスパコン開発しとらんがな。
ハコ自体はアメリカか日本製。
つかスパコンと福祉がなぜ同列なんだか。



101:Socket774
09/11/14 13:16:49 Q177t1I6
何をするかが重要であってベンチで1位とるのが目的じゃ困るわ

102:Socket774
09/11/14 13:18:55 uGQmjOr9
米ってPCや組み込みに大量にCPU売り裁いて、その収益やフィードバックを
大規模サーバやスパコンに活用できるからね。それだけでもすごい優位だよ。

103:Socket774
09/11/14 13:41:33 iYd7FjV6
税金と人材を浪費する「ITゼネコン」
URLリンク(ascii.jp)

104:Socket774
09/11/14 13:46:52 RZOc/tn3
その逆を唱えて常に失敗し続けている日本。
重要な技術というならばお金になるはずなのに、
産業として成り立たせられずになぜいつもゼネコンみたいに税金にすがるのかね。
対象がスパコンかどうかが問題ではなく、何をやってもヘタレな電気ゼネコンの力不足が真相では。
GFLOPSが一位かどうかなんてお飾りのお題目にしか見えない

105:Socket774
09/11/14 13:48:14 RZOc/tn3
おっと、>>104>>102へのレスね

106:Socket774
09/11/14 14:10:26 fa5STrNM
アメリカは軍の予算が大きいからなぁ
戦闘機開発とか核兵器の賞味期限をシミュレーションしたり


107:Socket774
09/11/14 14:12:19 XtAgcAD1
>>104
京速にしてもたかが1000億しか投資してないじゃねえか
Intel様舐めてんの?
ヘタレなのは行政の方針だろwww

108:,,・´∀`・,,)っ-○○○
09/11/14 14:54:49 8rfW0ww8
日本のコンピュータ産業育ててるのは自動車と電子ゲーム産業だと思うのです
後者は政府が任天堂に支援したことなんて一度も無い

国がやったのはせいぜいCEROだとか変な天下り団体を作ったくらいだ

109:Socket774
09/11/14 15:21:01 Xozo3dNQ
>>106
そうそう
ちゃんとスパコンの需要があるからな すごい大規模な。
需要が無いのに供給だけってのは商売としては厳しい。

逆を言えばだからこそ官でやらないと
灯が消えてしまう。
32チャン2048ビットのデータバス引き回しなんて
今のところ日本でしかやれん
(京速プロジェクトの光コネ研究はこれの代替技術)。
Linpack番長のスカラ型じゃ効率悪くて話にならんネタも
世の中にはたくさんあるんでな。

110:Socket774
09/11/14 16:06:06 uGQmjOr9
>>108
自動車エンジン制御>組み込みPowerPC
DS>ARM
どれも海外規格CPU

111:Socket774
09/11/14 16:49:34 ukFJm4cD
団子屋さんはソフト屋さんだからな
CPUなんて何でもいいんだろ

112:,,・´∀`・,,)っ-○○○
09/11/14 16:52:37 8rfW0ww8
それを言ったらどっかの京速に使われる予定だった不治痛のSPARCだって元々はSunだが?
あとカーナビはSH4使ってるぜ
3Dモーションセンサーはニッチ製品でアホみたいに高かったけど、
Wiiでの採用で単価が落ちていろんな製品で使えるデバイスになり得た。
ついでに言うとソニーのプレステ事業の生き血をIBMがすすって出来たのがRoadRunner

やっぱゲーム機で採用されることのスケールメリットは大きいと思うのです。
携帯電話は日本は独自進化過ぎて駄目っぽいし

113:Socket774
09/11/14 16:57:59 ukFJm4cD
今後はARMの天下ってことか

114:Socket774
09/11/14 17:50:35 abQNgL3u
箱○とPS3でPowerは一杯作られた、いまこそPowerアーキテクチャ復権のとき!!!111 なわけないね

次期箱も箱○の設計そのまんまで行くみたいだけどCPUはどうするんだろ
Powerで3コア3.2GHzって現状から何をどうやったら性能向上できるのか

115:Socket774
09/11/14 18:04:07 Gu71qeH6
ゲームでマルチコアはどのくらい有効なんかね
cellを使い切れてないってのはとりあえず置いといても、PC向けでさえいまだに
高クロックデュアルがほしい、可能ならシングルでさらに上って感じのが多いみたいだし

まあ、次期ゲーム機CPUは無難にクロック上げ&コアちょっと増える程度じゃね?
値段高い時期にWiiに独走された経験からして、発売時の定価も6万どころか4万切るあたりが上限だろうし
あとはGPU強化&メモリとHDD増量ってとこで

116:,,・´∀`・,,)っ-○○○
09/11/14 18:05:12 8rfW0ww8
箱○のはCellのPPEと同一マイクロアーキテクチャのカスタム版なんだよな


117:Socket774
09/11/14 18:20:44 0mOzxaqI
HD対応だったのが次期ゲーム機では必須になるのかね。
PS4にララビー採用の噂あったけどブルドーザー+ララビーとかだったら笑う。


118:Socket774
09/11/15 09:25:39 AGoSbOIq
その前に家庭用ゲーム機が携帯型ゲーム機に喰わr

119:Socket774
09/11/15 09:33:55 y/NMNo1E
ゲーム容量的に、DVD何枚組とかなゲームは当分携帯できないだろう
ま、大画面が据え置き型最後のメリットだろうな

120:Socket774
09/11/15 17:43:24 B6hjVP0D
ゲーム機も今までの公式が崩れちゃったからなー


121:Socket774
09/11/16 00:15:26 aALZYZAe
ARM Forum 2009レポート【CPUコア編】
~マルチコア拡張の普及版「Cortex-A5」の凄さ
URLリンク(pc.watch.impress.co.jp)

122:Socket774
09/11/17 00:58:54 pVAhJqs+
URLリンク(www.j-cast.com)

123:Socket774
09/11/17 01:02:52 hnoaYZ79
もう終わった人
URLリンク(headlines.yahoo.co.jp)

124:Socket774
09/11/17 12:23:00 eDk2+zoO
IntelとNEC、スパコン技術の共同開発に合意
URLリンク(pc.watch.impress.co.jp)

125:Socket774
09/11/17 12:47:58 HP2+pnJK
やっぱNECはこういう展開を考えてたのね。
相手がIntelとは思わなかったが。

126:Socket774
09/11/17 14:05:24 FDZoOiNO
昔あんな目にあったのに学習力無さ過ぎわろた

127:Socket774
09/11/17 14:07:27 p2r8s+cn
もうすぐ、インテルのお許しがないとスーパーコンピュータ作れなくなるのか
大丈夫か日電

128:Socket774
09/11/18 18:39:50 Rx05JO7X
なぜこんなネタをPC watchが取り上げるのか謎

129:Socket774
09/11/18 19:01:16 viqu6P07
>>128
Intelネタが大好きなライターが多いからに決まってるだろw
多分www

130:Socket774
09/11/19 02:57:02 zoGc5bgI
Intelネタを取り上げた記者には、毎回CPUが一個送付されるとか、何か隠れたインセンティブが有るんジャネ?

131:Socket774
09/11/19 17:34:25 1UtpJjcu
>>121
凄さ、っつてもインオーダー化とシュリンクのお陰しか見当たらないから、
更にブロック毎の電源offとかしてるAtomの方が凄いとオモタ。

132:,,・´∀`・,,)っ-○○○
09/11/20 01:24:29 OfG4YBQO
A8/A9はごく限られたケースだけAtomより電力効率良いとか言ってるけど
データバス帯域が狭いからSIMD使ってストリーミングとかやるとズタボロ。

外部アクセラレータに頼らなくて良い程度にはSIMD演算性能持ってるのは
Atomの強み。

133:,,・´∀`・,,)っ-○○○
09/11/20 01:28:52 OfG4YBQO
というかAtomはP4バス使ってるんだよな。
FreescaleのPPC G4系アーキなんていまだにFSB166MHzとかだぜ

134:Socket774
09/11/21 18:52:50 z9E+113Z
基板の問題もあるからな…
その辺PCは恵まれてる

135:Socket774
09/11/22 18:12:06 uAhI72rW
団子って日本の競争力低下喜んでそうだが

136:Socket774
09/11/22 19:15:33 PiSfOzLQ
IBMはCELL止めるんだとか
ロードランナーに入ってるやつの後継チップ

137:,,・´∀`・,,)っ-○○○
09/11/22 19:54:14 Htnio03U
富士通のSPARC64を使うことが競争のためだとは思わんが?w

138:Socket774
09/11/22 21:49:21 dsUf+HAd
>>136
ドイツ語
URLリンク(www.heise.de)

英語
URLリンク(www.playstationuniversity.com)

日本語
URLリンク(pocketnews.cocolog-nifty.com)

現行CellのPowerXCell 8iの後継PowerXCell 32ivは開発中止
Cellのヘテロジニアス的アプローチは続ける
Sonyが金出せばPS4にCell後継乗るかも

139:Socket774
09/11/22 23:03:46 3drIGl+H
やっぱり今後はARMの天下ってことか

140:Socket774
09/11/22 23:16:20 MH1Gk6Kd
クライアント側ではじわじわとARMが勢力拡大していくと思う。
そしてx86は高級機に追いやられ…

いつか来た道

141:,,・´∀`・,,)っ-○○○
09/11/22 23:48:03 Htnio03U
ARMの「パソコン」作ったのは結局SHARPくらいでしたけどね。
Atomネットブックですら先進国では買い増し需要でしかない。

142:,,・´∀`・,,)っ-○○○
09/11/23 00:14:00 nT9DryEJ
アクセラレータを含むARMのハードウェア共通規格策定

ソフトウェアの共通規格策定

各社ともプラットフォーム共通化

Windows/x86エミュレーション技術の確立


これができんことにはARMのPC化は無理だろ。
結局各ベンダーの垂直統合モデルに都合が良い独自製品向けの汎用コントローラだからこそ
IP売上げトップなわけで。

たとえばAppleがiPhoneでGoogle Androidサポートするとか言うか?
あり得ない。

143:Socket774
09/11/23 00:39:45 5PQNzPU7
>>141
NetWalkerはパソコンじゃないし
>>142
前時代的だねー
wintelって時代がいつまで続くか分からない気配がどんどん強くなってるのに
OSSは止められんよ

団子さんはARMが勢力拡大すると不都合でもあるん?
なんか生温い視線じゃなくて、ARMに対する敵意を感じるんだけど。
消費電力の壁が律速要因として存在するなら電力効率に優れたCPUが伸びるって思わへんの?

144:Socket774
09/11/23 01:08:58 TCFqWH6e
ARMのPC進出を妨げるのはARM社自身がCPUを作ってないこと
つまりライセンスを受けた他の会社が作ることになるわけだが、
競争の激しいPC市場に参入できるほどの余裕のある企業が無い

まあ参入の可能性があるとすれば新興企業じゃね

145:Socket774
09/11/23 01:17:56 qcXHIkVH
>>143
ARMの糞性能でどうにかなると思ってるなら相当アレ

146:Socket774
09/11/23 01:55:38 5PQNzPU7
>>145
いや、それ何がだよ。
クライアントなら充分に能力あると思うぞ。

147:Socket774
09/11/23 02:02:35 LKJFFbih
たいていの人が携帯電話で足りてるわけだし、性能なんてそんなにいらんだろ

148:Socket774
09/11/23 02:02:58 NOFnHeHE
意外とMIPSが行けるんじゃないかね。
今後、人口がバカデカい中国で龍芯MIPSノートとか流通するようになるなら、そのおこぼれが先進国にも波及する可能性がある。
逆にARMが勝つ要素はスマートフォンとの兼ね合いだな。

149:Socket774
09/11/23 02:06:28 cazobsKf
armの年間生産量は既にx86抜いてんじゃないの大幅に

ps4、次期箱○、wiiで採用されたらいよいよ天下取るかもね

期待してるよ pc?用はキャッシュ大幅に増やして10wでも許すw

150:Socket774
09/11/23 02:30:09 avJQ1Dvc
箱は互換路線だから次もPower

用途も単価もダイサイズもまるっきり違うものの生産量比べてもねぇ…

151:,,・´∀`・,,)っ-○○○
09/11/23 02:43:33 nT9DryEJ
OSSの力(笑)

だからハードの共通規格作れよ。
垂直統合モデルで分断しまくりの現状じゃどうしようもない。

152:,,・´∀`・,,)っ-○○○
09/11/23 02:58:13 nT9DryEJ
ARM搭載端末って、結局ハードウェア(プラットフォーム)がオープンじゃないからな。

SHARPみたいなガジェット作ってくれるメーカーがいっぱいいて
ソフト開発プラットフォームとして解放してくれない限りは
オープンソース以前の問題。


153:,,・´∀`・,,)っ-○○○
09/11/23 03:00:46 nT9DryEJ
>>146
お前の言うクライアントは
電子レンジや冷蔵庫なんだよな

そんなもんにx86載せる必要なんて無いからそっちで頑張れよ
パイは大量にあるから

154:Socket774
09/11/23 03:14:45 NOFnHeHE
この話のオチが「冷蔵庫に80186が載ってました」というオチだったら素晴らしいな。
今日日の冷蔵庫はもっと高性能なの乗せてるだろうが。

155:Socket774
09/11/23 03:19:09 cazobsKf
>>150
arm系ならatomと同じ値段でもっとハイパフォーマンスのプロセッサー作りそう

内ゲバ防止のしばりもないし、同じ消費電力でいいなら楽かもね、進化のスピード早いし

糞x86はいい加減死なないかなw windowsも肥大化しすぎてるし

156:,,・´∀`・,,)っ-○○○
09/11/23 03:28:19 nT9DryEJ
限られたケースで性能良かろうが結局そういうのは流行らない

>進化のスピード早いし

ぷぷぷぷぷぷぷぷぷぷ

ちなみに今デモされてる40nmプロセスのARMが実際の製品に使われるのは2,3年後で
その時にはAtomはもっと電力効率は上がってるオチな。
マクロだけ先に完成→パートナー契約結んだ企業がSoC製造→ベンダーが製品開発、と
製品化までのスパンが長いのよ。組み込みの宿命だな。

ハードウェアプラットフォームが共通化されててチップ完成後即製品になるx86とはえらい違いですよ。
プンプン


157:,,・´∀`・,,)っ-○○○
09/11/23 03:44:42 nT9DryEJ
製品レベルではハイエンド(笑)のARMを搭載したNetWalkerの実際のとこの性能って
おおよそ10年前のPentium III水準なんだよね
SIMD性能もPentium III程度でもあればいいほうくらい

ネタにはなるがネタでしかない代物だ

158:Socket774
09/11/23 04:20:21 yFleCQwM
>>138
互換維持するために普通にCELLだと思うよ
GPUはNVIDIAでもATIでも構わないようになってるけどCELLは丸裸 らしい
それにしても4コア(拡張版?)+32コアも必要なのか次世代ともなると想像つかんな
CELLをGPUにしたいように見える

159:Socket774
09/11/23 06:43:21 5PQNzPU7
>>151
goldfishとか
でも別に共通規格作る必要ないんじゃね?
決め打ちにしなくてもいいんだから

>>157
Pen3程度の能力があればクライアントには充分と思いますが。
win98相当がそうストレスなく動く、これでいいんじゃないの?
多分団子さんは多くを望みすぎなんだよ

なーんかな、団子さんは…

160:Socket774
09/11/23 07:08:40 qcXHIkVH
>Pen3程度の能力があればクライアントには充分と思いますが。
じゃあ今使ってるPCを窓から投げ捨ててPen3マシンに替えろよwww
ほぼ確実に発狂できるから

161:Socket774
09/11/23 07:25:42 5PQNzPU7
>>160
馬鹿?あまりにお粗末なので死んでくれないか。

メインマシンでLinuxのパッケージ自ビルドしてる俺が性能低いのに乗り換えとか、嫌よ。
将来性能の高いARMが出たら乗り換えるかも。
端末専用機ならARMにしてもいいと思うけどね。

162:Socket774
09/11/23 07:39:51 qcXHIkVH
支離滅裂

163:Socket774
09/11/23 07:44:34 avJQ1Dvc
とりあえず団子にケチつけたいだけの阿呆という認識でOKか

ARMがメインユースに耐えるほど高性能化したら売りであるはずの電力性能は駄々下がりじゃぼけ

164:Socket774
09/11/23 07:49:05 5PQNzPU7
>>162
「開発機で現状のARMはありえん。高性能のx86がいい。」
「端末機ならARMでいいかもー」
これのどこが支離滅裂だよ。

もうちょい言うと、開発機としてのコンピュータを必要としてる層なんか少数だぞ。
加えて、FullHD動画再生程度ならARMプラットフォームで出来るようになってる。

「現行のバイナリオブジェクトをそのままARMで利用する」という枷が無いのなら、
いいプラットフォームだと思うんだが、ARMは。

>>163
>ARMがメインユースに耐えるほど高性能化したら売りであるはずの電力性能は駄々下がりじゃぼけ
これ、実際の所どうなんだろうねー、とは思う。
究極的にはISAの出来不出来が問題になると思うんだけど。
そういう所からARMの駄目さを論じるんなら歓迎よ。

165:Socket774
09/11/23 08:48:12 TCFqWH6e
>>156
来年には32nmのCortex-A9搭載製品が出るって話だぞ

166:Socket774
09/11/23 08:52:15 5PQNzPU7
CPUでもsamsungが覇権握ったらバロス

167:Socket774
09/11/23 09:09:13 cazobsKf
>>157
消費電力が数十分の一だろ

しかも全盛期のp3の10分の1以下の面積と値段は3分の1くらいか

面積、消費電力、値段同じにすればatom軽く超えるだろ

atomもintel信者御用達のπなら1M 90秒位なんだしw P3とかわらんだろw

armも新規格立ち上げるんじゃね?自由度それなりに残しながら

 wm6xやchoromeやanndroido走ればいいんだし

168:Socket774
09/11/23 09:22:21 LKJFFbih
とりあえず、現在Pentium3と大差ないG4のノートでネットやってるが
困ることといえば動画の再生くらいだな。

動画の再生はGPUその他の補助がちゃんとある最近の機種ではなんとかなってるみたいだし
3DCG作るとか、ゲーム機ではできないほどクソ重いゲームとかでもないかぎり
このくらいで足りる気がする

SHARPのアレは、店頭でちょっとさわった。遅いって感じはしなかったが画面狭いなw
携帯電話に慣れた連中は違うんだろうか

169:,,・´∀`・,,)っ-○○○
09/11/23 10:37:16 nT9DryEJ
>>165
で、何処の携帯電話メーカーが出すの?
そういう与太話はよく聞くけど

170:,,・´∀`・,,)っ-○○○
09/11/23 10:40:23 nT9DryEJ
製品ってのはチップレベルの製品だろう。
携帯電話メーカーはそのチップが出来上がってから開発が始まるんだ

171:,,・´∀`・,,)っ-○○○
09/11/23 10:42:12 nT9DryEJ
>>167
なにこの頭悪い全角文字www
ところどころ字間違えてるし
イライラするわね

172:Socket774
09/11/23 11:08:48 5PQNzPU7
>>169
多分元ネタのFudzilla
URLリンク(www.fudzilla.com)
ARMの大本営まんまだしFudzillaだし"might be coming"だし、そこまでアテになる情報じゃないかな…
まぁ1年間生温い目で見てればいいと思うよ。

URLリンク(techon.nikkeibp.co.jp)
こんなのもあるけど、現在どうなってるのか不明
googleが出すとかだったら笑う

173:,,・´∀`・,,)っ-○○○
09/11/23 11:09:55 nT9DryEJ
Atomのネットブックの立ち位置って5年前ならXScaleのWindows CE/Linux搭載機、俗にハンドヘルドPC市場
だと思ってるが、あの市場って壊滅したろ?

Windows CEじゃなくてフルスペックのWindowsが動いて、好きなアプリが起動できる
x86マシンに駆逐されたも同然だよ。

今更ARMが取り戻せる市場ではない。

174:Socket774
09/11/23 11:14:49 5PQNzPU7
>>173
おぬし頑固よのう
平行線にしかする気ナッシングですか

まぁ5年もすれば市場が結果を見せてくれるでしょ
俺はARMの勢力拡大・x86一部切り崩し成功に賭ける
団子さんはx86一択にしてればいいよ

175:,,・´∀`・,,)っ-○○○
09/11/23 11:19:19 nT9DryEJ
>>172
32nmはhigh-k使えないってよ。

AndroidだかChromeOSだかってのは結局、Googleに情報を管理されるわけで
情報統制って意味では、アクティベーションやってるMSはグレーだが
Googleは真っ黒だな。
客商売には使えない。ISO認証やプライバシーマークすら通らない。

家庭用もしかりだが、デジカメで撮ってきた画像を加工して年賀状を印刷したりするもんだ
そういうきめ細かいサービスがクラウドにできるかな?
Google docsって縦書き文書すら作れないぜ?
いまさらワープロ専用機やプリントごっこ(笑)に戻れというわけでもあるまい?

176:Socket774
09/11/23 11:31:57 TCFqWH6e
IBM陣営はBulkもHigh-kだぞ

ところで何でそんなにARMを敵対視するのかわからん
Google批判まで始める始末だし

177:Socket774
09/11/23 11:31:58 Hw/lvYLK
企業向けの低価格端末が出ない限りはx86の優位は当面揺るがないだろ…
昔、Hがパソコン止めると宣言した時、SH端末でも全社的に使うんだろうか?と期待したんだけど…

徐々にARM等の機能を絞った端末が増えていくとは思うけど。

178:,,・´∀`・,,)っ-○○○
09/11/23 11:34:29 nT9DryEJ
「まぁ5年もすれば市場が結果を見せてくれるでしょ」
=21世紀になったら車が宙に浮いてる


179:Socket774
09/11/23 11:35:53 Hw/lvYLK
でも、Pen3機はまだ使ってるw
けど、CPU自体は不足してないけど、メモリが載らないとか
インターフェイス周りの弱さが目立つのでメイン機には使えないんだな。
せめて2G載ればねぇ…。

180:,,・´∀`・,,)っ-○○○
09/11/23 11:37:39 nT9DryEJ
>>176
そっちか。

ARM=TSMCだと思ってた

181:,,・´∀`・,,)っ-○○○
09/11/23 11:44:07 nT9DryEJ
>>177
AtomネットトップがCPUの省電力メリットが殺されてる現状では
バイナリ互換などデメリットのほうが多いARMが置き換えることはないと思うのだ。

HDDやUSB 2.0機器を複数繋げる用途に耐えうるI/Oハブ用意したら
それだけでそれこそARM本体よりも消費電力大きくなっちゃう。
液晶だって15インチクラスは最低限欲しいだろ仕事で使うなら。

性能で訴えるしかない。

というかハードの時代なんて終わってるんだが。
今はソフトが無ければただの箱。
ARMには実用に耐えうるビジネスアプリがないのが現状。

182:Socket774
09/11/23 12:07:34 fqedKYWk
ARM厨を一行でまとめると
俺が使うのはヤだけどお前らはARMクライアントで十分
でok?

俺は宣伝だけしてやるからお前らが素晴らしいものを作れ
だとTRON厨になるな...

183:,,・´∀`・,,)っ-○○○
09/11/23 12:17:00 nT9DryEJ
Cellの性能がPentium 4の○倍とか言ってホルホルしてたどっかのゲートキーピング屋さんと同レベルの妄想は辞めようよ。

Wintelの抱えるソフト資産規模はARMとは比べものにならん。

秀丸がNetWalkerで動くような時代になればまた別だが
Linuxブームの時ですら無視してたからなタキシード山本仮面様は

184:Socket774
09/11/23 12:19:33 Hw/lvYLK
>>181
新規案件でのシステム一括納入なら話は違うけどね。
Win機からMacへいれかえる見たいなもんだし。
ただ、ARMやSH、MIPS機にはVisualなんとか見たいな開発環境がないとかハードル高すぎw

>HDDやUSB 2.0機器を複数繋げる用途に耐えうるI/Oハブ用意したら

確かに実際に製品として供給されるARMチップだと省電力に的を絞った物が多くて
キャッシュ少ないはメモリMax512Mとかで載らない、USBは…と不満が多い。

>今はソフトが無ければただの箱。

それはクラウドで解消しそうだが…
もっとも、クライアント側に求められる性能ってのが
OSS連中が言うほど軽くないってのは重要な問題だが…。

185:Socket774
09/11/23 12:23:47 Hw/lvYLK
>>181
Atomネットトップはアンチウィルスソフト等がCPUパワーを使いすぎていて。
CPUパワーがあるからソフトが重くなるってWintelの悪癖が出すぎてる感があるけどなぁ…

186:,,・´∀`・,,)っ-○○○
09/11/23 12:30:34 nT9DryEJ
ARMにはウィルスがないから安全!(棒

187:Socket774
09/11/23 12:42:47 Hw/lvYLK
>>186
そうは言うがな…
標準添付されてるアンチウィルスソフトをインストールして再起動したら
起動時にdllがどんどん落ちるのはどうかと思うぞ…いやマジで…orz

188:Socket774
09/11/23 12:45:24 srrKjRXu
ソフトが重くなって何が悪いんだ
そんなに死んだ世界がお望みか

189:Socket774
09/11/23 12:55:07 avJQ1Dvc
Wintelでソフトが重いというか
ARMじゃ性能が足りなくて
重くできない
だけ

190:Socket774
09/11/23 14:02:47 jXkHAZOr
>>183
いまだにCellの性能信じられないバカ発見
ソフトがなけりゃタダの箱なんでしょ
どんな重隅で優劣決めてんだか

191:,,・´∀`・,,)っ-○○○
09/11/23 14:06:03 nT9DryEJ
普及すれば必然的にウィルス対策が必要になる。
逆にウィルス対策ソフト程度も動かせないんじゃ共通プラットフォームとしての普及は許されないだろう
垂直統合モデルで分断された世界がある意味理想ともいえる。

ARMの市場は「ニッチクライアント」で十分なのよ。



192:,,・´∀`・,,)っ-○○○
09/11/23 14:09:11 nT9DryEJ
>>190
信じてないわけじゃない。
最早見るに値しないだけだ。

積和算のスループット(笑)なんか既にお役御免だよ。>>138

193:Socket774
09/11/23 14:41:35 NOFnHeHE
ARMの性能が足りないだって?
混載されたSuperHコアの出番だな!

194:Socket774
09/11/23 15:33:46 YDmmLVrj
iPhone様がARMと仰っているのでね、もう勝負はついてるんだよw

195:,,・´∀`・,,)っ-○○○
09/11/23 16:29:36 nT9DryEJ
iPhone様(笑)
まだNintendo DSとか言った方が笑いだけはとれたのに。

196:,,・´∀`・,,)っ-○○○
09/11/23 16:32:51 nT9DryEJ
日本じゃソフトバンクという3番手キャリアの1機種という扱いだし
ワールドワイドじゃそれこそノキアやエリクソンを幅を利かせる世界で
世界ウン百万台程度じゃ成功とは言いがたい。
マカーが持ち上げてるだけで。

197:Socket774
09/11/23 16:45:35 9r6+avbe
>>196
iPhone以外でも携帯だとARMが多いんじゃないの?
Atomはこれから携帯やスマートフォンにチャレンジしようかって段階だし。

198:,,・´∀`・,,)っ-○○○
09/11/23 16:55:36 nT9DryEJ
うん、だから言ってるだろ。垂直統合モデルだって。
CPUのコードが共通でもSoCの構成要素の一つに過ぎず、プラットフォーム間の互換性は持たない。
Wintelプラットフォーム下での各PCベンダーのような平行分散を嫌うからだ。

たとえばNintendo DSのソフトがiPhoneで動いても任天堂にはメリットがないわけだぜ。

単に複数の「独自プラットフォーム」を構成する共通要素としてARMが存在しているだけ。
仕様が共通化され同じソフトウェアが動くx86のようなスケールメリットは生じない。

199:Socket774
09/11/23 17:09:46 uARxuxOP
アームのIP商売の収益ってたいしたことないよ。
逆に言うと格安でライセンスしてるから顧客は多い。

>2006年にARMコアは24億個出荷され、そのおよそ3分の2が携帯電話機向けだった。
>16億個前後のARMコアが携帯電話機に搭載されたことになる。この物凄い数値
>からすると、ARMの売上高はさぞかし巨大な金額かと思いきや、実際はそうでもない。

>ARMの2006年の売上高は4億8,360万米ドル、日本円で約500億円である。
>売上高が500億円とは、いささか少なすぎるようにみえる。Intelの2006年
>年間売上高は354億米ドルであるから、日本円で4兆円近くもある。
>ARMの売上高は、Intelの売上高の1.3%に過ぎない。

>アーム株式会社の代表取締役社長を務める西嶋貴史氏は、「ARMコアを内蔵した
>“半導体チップ”の売上高は総額で2兆円~3兆円に達すると推定しています。
>ですが、ARMコアが半導体チップのトータルコストに占める割合はわずかです。
>このため企業としてのARMの事業規模は、Intelと違って比較的小さな金額にとどまっています」

200:,,・´∀`・,,)っ-○○○
09/11/23 17:15:34 nT9DryEJ
所詮部品のそのまた構成要素にすぎん。
「DDR2-DRAMチップはCore 2 Duoより売れている」
って言うようなもんで野暮


201:Socket774
09/11/23 21:55:52 47q579hW
垂直統合と対になるのは水平分業

202:Socket774
09/11/24 00:04:50 euFcfzd5
NECがスパコンでIntelを選んだ理由
URLリンク(pc.watch.impress.co.jp)

203:Socket774
09/11/24 00:29:54 BubHDolv
>価格競争力を度外視して、国家プロジェクトで世界一性能の高いスーパーコンピュータを開発しても、それは一時的な国威発揚にしかならない。

光インターコネクトとか45nmプロセスとかそういう要素技術が残ると思うのだが。
それをPCなり家電なりに活かせるのか、即転用はできないにしても先鞭になるのかという議論はまた必要かもしれない。


>総事業費約1,150億円という予算は、それに見合っているのか、ということを仕分け人は問うているのだと思う。

Intelは年間6000億以上R&Dに投資しているんですよ?5年で3兆円。
1150億円しか支援しないのだから先の見通しが微妙になるのはそらー当たり前でしょう。
スパコン開発の意義もわからなかった仕分け人には大金に思えるのかも知れないがなwww
だからアメリカ見習ってフェーズを幾つかに分けて一社に絞ってどかんと予算落とす方が良かったんだよ。
ただでさえ少なすぎる予算なのだから。

204:,,・´∀`・,,)っ-○○○
09/11/24 00:34:17 hPX1Nh9Y
富士通という私企業の予算を国に組ませないといけない時点でおかしいだろボケ
それともIntelは米の国営企業か?


205:Socket774
09/11/24 00:52:50 BubHDolv
>>204
国営企業ではないが利益出していっぱい税金落としてるじゃん
公共事業打って何が悪い

206:,,・´∀`・,,)っ-○○○
09/11/24 01:00:05 hPX1Nh9Y
で、あと何年おんぶにだっこさせればIntelみたいに自立してお金落ちるようになるの?
任天堂なんて国に技術開発支援受けたことは一度もないのに世界に誇るグローバル企業だぞ。

それは兎も角、先端プロセスを手がける半導体企業は露光技術でニコンやらの日本企業と協力関係にあるわけで
無理してまでIntelと同じ土俵で競争する必要なんてないんだよ。

207:,,・´∀`・,,)っ-○○○
09/11/24 01:10:56 hPX1Nh9Y
Intelはリソースの米国内調達に拘ってないんだよね。
モバイルチームはイスラエル人を雇ってるし、最先端プロセス用の露光装置は日本から買ってるわけだよな。
なんで日本は外部調達じゃなしに全部国産でやらんといかんのですか?
得意でもない分野まで。

食料自給率うpみたいな生産性のあることならまだわかるが。

Intelが22nmを手がけて旧くなった露光装置は中古で外部ファウンドリに流してるような2012年という時期に
独自に45nm作ったところで何が国益になるんですか?
45nmなんてルネサスは2008年に量産してるんですぜ?
君の主張は日本を鎖国して後進国にさせたいように見えてならんよ。

208:Socket774
09/11/24 01:13:52 pLNmnBH4
話が分散しすぎていると思うが。
光インターコネクトとか要素技術の話だろう?

209:Socket774
09/11/24 01:15:25 BubHDolv
>>206
>で、あと何年おんぶにだっこさせればIntelみたいに自立してお金落ちるようになるの?

だから今の状態じゃおんぶにもだっこにもなってないんだよw


>任天堂なんて国に技術開発支援受けたことは一度もないのに世界に誇るグローバル企業だぞ。

任天堂に何の関係があるのか
団子は国がスパコン開発支援しないで現状を打開できると思ってるの?


>それは兎も角、先端プロセスを手がける半導体企業は露光技術でニコンやらの日本企業と協力関係にあるわけで
>無理してまでIntelと同じ土俵で競争する必要なんてないんだよ。

競争が激し過ぎるところを避けるのはわからないでもない
でもIntelと競合するリスクや見込める利益なんかの議論は尽くされていないと思う

210:,,・´∀`・,,)っ-○○○
09/11/24 01:20:08 hPX1Nh9Y
消費者向けの光伝送インターフェイスならそれもIntelがやってると思うのだが。

不治痛の手掛けたMOは流行らなかったぞ?

211:,,・´∀`・,,)っ-○○○
09/11/24 01:22:45 hPX1Nh9Y
>団子は国がスパコン開発支援しないで現状を打開できると思ってるの?

国の金で国産CPU作る必要があるかといえば、否だな
ITゼネコンに金ばらまくって意味なら全く意味がないな


そもそもなんで民主党政権になってから言うわけだ?
日本が国営半導体企業を作らないといけないようなことを
自民がやってたわけでもあるまい?

212:,,・´∀`・,,)っ-○○○
09/11/24 01:27:14 hPX1Nh9Y
それとも、Xeonでスパコン作って研究したら成果がどっかの企業にもってかれるんですか?

国の施策が不満ならそれこそ経団連構成企業で金出し合ってどっかの大学にスパコンでも作ればいい。
国立大への寄付の場合、国に税金もってかれない特権がある。


213:,,・´∀`・,,)っ-○○○
09/11/24 01:34:46 hPX1Nh9Y
俺はコンピュータに必要なのは後にも先にもソフトだと思う

214:Socket774
09/11/24 01:57:42 J8uS7stT
任天堂って電通の総バックアップ受けてなかったっけ
国じゃないにしろ真っ当な企業じゃねーだろな

それにウィンテルの構図だって米国政府が望んだ形だろうに
たぶん一企業の技術とがんばりだけってのはどこも無理。

215:Socket774
09/11/24 02:02:49 J8uS7stT
外人に金もたせ荒らさせ、トロン潰しなんてのも痕跡あるしな。
日本のソフト屋はゲームも何もかもその収益性から博打ヤクザが経営してるようなもんで、
ものづくり的な会社に育つはずもなし。

216:,,・´∀`・,,)っ-○○○
09/11/24 02:05:08 hPX1Nh9Y
シアトルマリナーズのスポンサー企業でもある


217:,,・´∀`・,,)っ-○○○
09/11/24 02:09:31 hPX1Nh9Y
URLリンク(blog.livedoor.jp)
自民政権でもろくなことになってない気がするんだが。

218:Socket774
09/11/24 03:40:49 mngxCW7S
元IBMの中の人でさえこんな意見だっつーのに団子ときたら

>●この事業仕分け手法はアメリカ人には話せない 投稿日: 2009/11/13
>(中略)
>・もし法的にオーソライズされていない質問者が多数決でプロジェクトに致命的とも言える
> 金額レベルの削減を1時間で決め、そのまままかり通るという手法が通ったとします。
> まさにアメリカのみならず世界の科学技術界の物笑いで、日本の大型科学技術プロジェクト
> 遂行への信用は大きく失墜するでしょう。(アメリカ人から聞かれてもうまく説明できないし、
> 話したくもないですね。来週がSC09ですから、日本の主な出展者にとってはワーストタイミング
> になってしまったに違いありません。)
>
>・ふたを開けてみたら、次世代スーパーコンピューター競争の最大の敵は20PetaFLOPSを
> 目指すIBMではなく実は自国政府でした、というのではしゃれにもなりません。個人的には、
> 最後まで関係者のねばりを見せて欲しいところです。
>
>・もう少し言うと、国の科学技術戦略を担当してきたオーソリティ(総合科学技術会議か)は
> こうした状況に対して当然ながら、きちんとなんらかの意思表示をする義務があると思います。
> と書いて総合科学技術会議のメンバーを調べたらトップが鳩山首相でした。
>
>●Blue Waters用POWER7 投稿日: 2009/11/18
>(中略)
>スーパーコンピュータのトップレベルでは、こんな激しい技術競争をしているわけですから、
>これがわかっていれば、2位でもいいなどという甘い発想はとても出てきません。

219:,,・´∀`・,,)っ-○○○
09/11/24 03:53:25 hPX1Nh9Y
2位じゃ駄目か。わかってるじゃないか。
なら、海外で売れるような競争力も無いSPARC64(笑)だけで組むとか尚更駄目だな。
Sandy BridgeとLarrabeeで仕切りなおしするとかのほうがまだ生産的だ。

220:Socket774
09/11/24 03:58:17 9Fw1q5W2
URLリンク(www.iza.ne.jp)

221:,,・´∀`・,,)っ-○○○
09/11/24 04:10:18 hPX1Nh9Y
>>218
あと、引用元ちゃんと示せ
略された部分が重要だろ。
君の自己満足なら俺には必要ない


222:Socket774
09/11/24 07:02:41 KUhziBj+
民主党がやろうとしてんのは前時代的な革命だね
形になってるものまで鬼の首とったかのように壊してる
支持もその茶番劇によるものだろう

223:Socket774
09/11/24 14:09:10 T8x5hoyG
元IBMといっても脳沢みたいなのもいるからなぁw

224:Socket774
09/11/24 15:15:33 7VpDZvzp
>>210
なぜそこでMOが?

225:Socket774
09/11/24 15:24:29 RQdqsZRS
一般消費者はもはや求めてないのにお役所が生きながらえさせてるメディアの代表格ではある。

226:Socket774
09/11/24 19:38:11 2v9OeFGY
>>207
超スレチだけどアメリカからイスラエルへの投資や寄付の免税措置は病的だ。

227:,,・´∀`・,,)っ-○○○
09/11/24 22:20:49 hPX1Nh9Y
>>218の引用元ググったら出てきたけどこの人も相当キてるよな


経団連に期待しろって言ったのは撤回する。
自社の雇用すら守らないお便所さんが景気対策のために税金投じてくれ云々言うから笑えるわ。
安定雇用を破壊して国内消費を鈍らせてるのは何処の誰だよ。
かつて経済一流と言われた日本だが、今はその程度の自浄作用すら期待できない。


228:Socket774
09/11/24 22:28:48 3QlTiAPM
No future!

229:,,・´∀`・,,)っ-○○○
09/11/24 23:08:31 hPX1Nh9Y
杉林は適度に伐採してやんないと
花粉症が増えたりして経済にマイナスなのです
樹脂も豊富で良質な燃料にもなる。

まずは日本の林業を救うところから始めようか。

だんご粉自給率100%は二の次

230:Socket774
09/11/24 23:24:09 wiPBCQni
団子の口から自給率とか聞くとは思わなかった
もっとグローバリゼーションマンセーな錬金術師のイメージだったよ
それとも何かを炙り出すためポーズで言ってんの?

231:,,・´∀`・,,)っ-○○○
09/11/24 23:46:06 hPX1Nh9Y
砂糖をバイオ燃料にするよりは余ってる木を燃やす方が生産的だろう。
有機物は無駄に腐らせてはいけない。


232:Socket774
09/11/25 00:06:34 wAdVFdaD
【ET2009レポート】XilinxとARM、合同記者発表会を開催
URLリンク(pc.watch.impress.co.jp)

233:Socket774
09/11/25 10:07:53 mzW69JsI
農産物からアルコールってのは詭弁だしな
大輸出国アメリカが自国で食う分まで燃料にしても、アメリカの2ヶ月分の燃料にしかならない

234:Socket774
09/11/25 12:59:33 FJQN1Mgh
ARM7/9を既に実装してるトコロは、上位機としてARM11を望むけど
ARMとしてはCortex-A使って欲しくて揉めがちである、と。
ARM11コア製品がロードマップに前々から載ってるけど出てこないって会社、確かにあるなw

235:Socket774
09/11/25 18:53:37 LAPUmM6S
>>222
民主だか自民だかどっちでもいいが、
こんな糞は一度キャンセルすべきだったろうね。

236:Socket774
09/11/25 20:34:51 nfOfNSti
モータ制御をAtomで代替するのは非現実的
URLリンク(journal.mycom.co.jp)

237:,,・´∀`・,,)っ-○○○
09/11/25 23:15:05 XnXiDy9n
モータの制御なんてGHzなんてマスクROM内蔵の数十MHzの世界だな
今までの常識ならば。

どこまで打ち破れるか興味は尽きない

238:Socket774
09/11/25 23:23:32 FJQN1Mgh
Intelは、まだ8051改良型のMCS251や
8096系のMCS296とか作ってるんだから

そっち使え!って事だろ…

239:,,・´∀`・,,)っ-○○○
09/11/25 23:43:31 XnXiDy9n
仮想敵は元ローラ、TI、ルネサスあたりか。。。
一体いくらで売る気だ
チャレンジャーにも程がある

240:,,・´∀`・,,)っ-○○○
09/11/25 23:44:48 XnXiDy9n
モトローラってよりはフリスケか


241:Socket774
09/11/25 23:48:34 FJQN1Mgh
MCS251/MCS296は既にディスコンで保守品種ですが
まだ一応手に入ります。えぇ。

っていうか、その代わりがAtom?無茶すぐる…

242:,,・´∀`・,,)っ-○○○
09/11/25 23:52:30 XnXiDy9n
Cortex A9のクアッドコアでMini-ITXのボードあるから買って遊んでみようと思ったら
60マンとかふざけてるのかと

それはそうとiPhoneに感染するウィルスも出てきたし「MacOSは絶対にウィルスに感染しない」なんて
基地外マカーが少しは減るんだろうか

243:Socket774
09/11/25 23:55:01 FJQN1Mgh
>>242
クアッドにコダワリなければ、BeagleBoardでヨクネ?
まぁアレもIF少ないけど…

244:,,・´∀`・,,)っ-○○○
09/11/26 00:02:16 XnXiDy9n
デュアルコアCortex-A9のAndroidケータイの白ロム何個か繋いでクラスタリングみたいな
とてつもなくアホなことがやりたくなった


245:Socket774
09/11/26 00:02:19 pqeRkl2f
基地外マカーと言えば団子ちゃんと仲良しのあの方を最近見ないんだが

246:Socket774
09/11/26 19:53:00 8rNQQ9NL
ザイリンクスって読むのかw

247:Socket774
09/11/26 22:46:04 w1vP57Y+


248:Socket774
09/11/27 20:54:57 WGho4pLP
スパコン開発は世界一を目指さなければ意味がない - 東大・平木教授
URLリンク(journal.mycom.co.jp)

249:,,・´∀`・,,)っ-○○○
09/11/28 01:42:42 q4aoUrmv
GRAPE関係はなんでこうもキてる人ばかりなのか

250:Socket774
09/11/28 05:06:03 o+AyQL56
幕末の武士のように
もう自分たちが要らないんだって認めたくないんで
必死なんじゃない

251:Socket774
09/11/28 09:44:54 0vLYzTWw
CPUあーきてくちゃ、を語る人も同じに見えてるぞ。

252:Socket774
09/11/28 10:34:23 Zj56XYxe
武士道を語る町民がいたっていいじゃんw

253:Socket774
09/11/28 15:29:49 Cf7f/CDB
ニュー速とか見てるとスカラプロセッサは安い、
ベクトルプロセッサは高いという議論になったりしてんのな
安いスカラプロセッサなんてx86だけだというのに
これを理由にNECディスって富士通マンセーしたり
SPARCの富士通が日本勢だったりPS3にしろだのもう滅茶苦茶w

254:,,・´∀`・,,)っ-○○○
09/11/28 15:31:07 q4aoUrmv
たしかにひどい

255:,,・´∀`・,,)っ-○○○
09/11/28 15:34:27 q4aoUrmv
世界的にCO2排出量削減の流れになればベクトルは復権とはいかないまでも
アクセラレータとしては一定の支持を取り戻すことはできると思うよ。

いつになるかは知らんが。

まあ、汎用性は低いが安いベクトル型プロセッサ(GPU)も台頭してきたことだし
いろいろ面白いことになりそうですな

256:Socket774
09/11/28 23:26:02 I53zpS/V
>>255
米中もやる気ないし自国の経済を悪くする政策に世界が乗り気になるわけない。
「アホの日本が罠にかかりおった。
排出権相場を釣り上げて日本にある金を搾り取ってやる。ウヘヘ」
ってのが地球温暖化詐欺だろうに。

257:Socket774
09/11/28 23:40:43 1WkjCqI3
ま、CO2は25%減らさなきゃってのは科学的に要求される最低レベルの対策ってことはおいといて
日本のアレは中国とかもやるなら削減って話だから、実行に移されるなら米中も削減するよ

258:OOO-⊂(´∀`旦⊂☆諫碕
09/11/28 23:54:45 6zYEyD45
>>253
LRBスレにもちょっと前に書いたが、
スカラvsベクトルっていう世界観で未だに分析しているくせがあるのが悪い。

スパコンは
・どれだけ汎用品のものを流用するか
・どれだけ専用のものを新規に設計するか
という部分が重要で、アーキテクチャとか技術論というよりは
経済面でのメリット・デメリット論の世界なんだよ。
純粋に技術的にいえばHPCで自分がよく走らせるコードを神が分析して
それ用に神が最適化して設計したプロセッサのアーキテクチャが一番効率がいい。
つまり専用プロセッサの方が有利。

しかし、新規部分の多い開発にはコストがかかる。
結局のところ彼らの議論は、経済面の話なの。それがわかってないHPCオタが多い。

259:OOO-⊂(´∀`旦⊂☆諫碕
09/11/28 23:57:21 6zYEyD45
まあ一部プロセッサと書いてしまったけど、当然プロセッサだけじゃないよね。

260:Socket774
09/11/29 00:17:08 2oRNugae
経済面の話だってのは納得
でも、ものを安く作れるってのも「技術」じゃないのかな
経済面を全く無視した技術ってのは成り立ちうるんだろうか

261:OOO-⊂(´∀`旦⊂☆諫碕
09/11/29 00:21:44 5LUz4v7U
>>260
おれがいってるのはそこまで完璧に経済面を排除した首尾一貫した理屈じゃない罠。

少なくともGPGPUはベクトルプロセッサと似ているからベクトルプロセッサの様な末路を迎えるとか、
スパコン用にスカラプロセッサさえ頑張って開発して沢山つなげば、世界一になれるとか、
無理のある論理は排除できるだろ。

HPCオタは殆ど経済面の理屈で合理的に理解できる議論をしているのにもかかわらず、
自分達は科学・技術の話をしていると思いこんでいるところ。
だから技術面と経済面が合致しない部分で永遠に矛盾を抱えたまま綺麗な結論が出せないでいる。

262:Socket774
09/11/29 00:24:56 2oRNugae
なるほど、了解

263:OOO-⊂(´∀`旦⊂☆諫碕
09/11/29 00:29:15 5LUz4v7U
まあ蛇足であるが、用途が科学技術計算というジャンルで専門家の顔もよくでる話だから、
HPCオタは特にそういう傾向が強いんだと思う。技術に経済面の話を導入すると純粋な技術論が汚れるみたいな。
その点、コンシューマの雑多な話が多いおれらの方は技術と経済面とは自然にミックス
された状態の話題になれているからな。自作板の勝利ってことで。

264:Socket774
09/11/29 00:53:56 f1A/trH5
安全保障とかそういう政治的な話を持ち出す輩にはどう対応すればいいすかね?

265:MACオタ>264 さん
09/11/29 01:13:21 pL7dE78L
>>264
  --------------------
  安全保障とかそういう政治的な話を持ち出す輩にはどう対応すればいいすかね?
  --------------------
海千山千の悪党国家であるギリスもフランスもドイツも自国製スーパーコンピュータ用プロセッサなんて
開発していない…というのが、最も判り易い回答では?

266:Socket774
09/11/29 01:14:21 MArYkWTv
うお 久々に見た

267:Socket774
09/11/29 01:23:02 qryEl5hT
MACオタさん生きてたんだ…

268:Socket774
09/11/29 01:37:27 0Wt5eky0
せっかくだからオタさんに質問
- IBMがHPCでのCellの使用をやめるらしい件
- PS4のCPUにPOWER7コアが使われるという噂
について、何かコメントください

269:MACオタ
09/11/29 01:53:20 pL7dE78L
The RegisterのT.P.Morgan記者がSC09で展示されていたBlue Waters向けPOWER7ノード
について結構詳しい記事を書いています。
URLリンク(www.theregister.co.uk)
4chip (=32core) MCMの写真なども興味深いですが、マザーボードについて面白い記述が…
  --------------------
  There are two monster motherboards underpinning the processors and their
  memory and the hub/switch and its interconnects. These mobos are
  manufactured by Japanese server maker Hitachi and Brenner said that these
  were the largest motherboards ever made.
  --------------------
京速から遁走した日立はBlue Watersノード向けマザーボードの製造を担当していた
とか(笑) 国が技術振興のためにやるべきことは『何』なのか、考えさせられますね。

270:MACオタ@続き
09/11/29 01:55:19 pL7dE78L
ということが判明した訳ですが、技術振興のために国がやるべきことって『何』という
ことのヒントが隠されているような…

271:MACオタ>268 さん
09/11/29 02:01:02 pL7dE78L
>>268
最初の話ですが、IBMの米国特許をちょっと調べてみるだけでもCELL/B.E.への開発
投資が続いていそうなのは推察できますよ。
URLリンク(patft.uspto.gov)

2番目の話ですが、上の記事でPOWER7 MCMの消費電力とパッケージサイズについて
の記述を読んでから真偽を考えてみてはいかがでしょうか?
  -----------------------
  Both chip packages have the same pin count at 5,336 pins (92 pins by 58 pins),
  according to Alan Brenner, a senior technical staff member of the server and
  network architecture team within IBM's Systems and Technology Group:
  …
  At 800 watts, the package is not cool by any means, but the Power7 IH MCM is
  delivering performance at 1.28 gigaflops per watt at the package level.
  -----------------------

272:Socket774
09/11/29 03:18:38 gPHb32ZM
日立は京速では要素技術担当だったから(と思う)
IBMの下請けは何ら不思議ではないが
日立もPOWER売ってるしな

273:Socket774
09/11/29 04:31:48 JJ0feJZn
>>229
植林の売り文句は「伐採した木材を販売すれば儲けも出ますよ」だったが
輸入木材などで国産木材価格が暴落→放置
落葉広葉樹が一掃されて山も川も痩せて護岸工事の弊害もあって土砂崩れに鉄砲水。
森も山も川も海も壊滅状態。

日本の林業を救う方針は研修生という名の奴隷待遇の外国人労働力の使い捨て

日本人は石油化学や金属製品を加工する機械と紙屑紙幣を引きかえに
竹籠や藁細工を作る技術すらほぼ失ったのである。

274:MACオタ
09/11/29 10:21:23 2JwEUj1q
上のBlue Waters向けPOWER7ノードの件、Cheer HPCブログも良い写真を載せているので
紹介しておきます。
URLリンク(cheerhpc.wordpress.com)
・ノード内部
URLリンク(cheerhpc.files.wordpress.com)
・POWER7 MCM
URLリンク(cheerhpc.files.wordpress.com)
URLリンク(cheerhpc.files.wordpress.com)
・インタコネクトモジュール
URLリンク(cheerhpc.files.wordpress.com)

275:Socket774
09/11/29 11:12:34 0Wt5eky0
>>271
ありがとう
1件目はCell/B.E.じゃなくてPowerXCellの話だったんだけどまあいいや
オタさんは両方否定的ってことね

276:275
09/11/29 11:19:15 yXC5N5Eq
ID変わってるけど275です

一応元ネタ(?)貼っときます

Cell is no longer HPC material
URLリンク(www.theinquirer.net)

Sony chooses IBM POWER 7 CPU for PlayStation 4
URLリンク(www.gamekicker.com)

277:Socket774
09/11/29 11:32:46 VOw6AVOb
>>274
1TFLOPS達成するのに800Wとかどうなのよこれw
Larrabee使えば1/4の電力で済むぞw

278:Socket774
09/11/29 12:15:15 yXC5N5Eq
京速を大艦巨砲主義と批判する人がいるが
アメリカだって巨大戦艦を作っているということだな<800W

279:Socket774
09/11/29 13:54:41 X/85PNkR
>>277
RadeonHD5750なら100W切ってますよ(棒

280:Socket774
09/11/29 13:58:15 xQEzGLxg
カタログスペックは結構です

281:,,・´∀`・,,)っ-○○○
09/11/29 14:30:45 1kopwAVM
>>277
Larrabeeのこないだのは単精度だぞ。
倍精度ならこないだのデモ機なら半分の500GFLOPS程度がピークだろうね。
(ただ同時処理できる演算数が減るので実効性能比は改善されると思われる)


282:Socket774
09/11/29 16:37:41 tPZFkmtQ
>>252
いいとか悪いとかじゃなくて、そう見えるってこと。
キてると思われるのが嫌なのかも知れないけど、
そう見えるものはしょうがない。

283:Socket774
09/11/30 01:09:23 Se0IXeDb
すれっどすとっぱー

284:Socket774
09/11/30 02:03:34 /o1S+CRy
>>278
それ池田とかいう池沼だろwww

285:Socket774
09/11/30 09:23:24 NKdi5QgW
いや、ノビーの影響なのか何なのか
結構言ってる人いるよ

286:Socket774
09/11/30 14:08:30 o3wbSh67
あのおっさんはただの芸能人だから指さして笑ってやるのが優しさってもん

287:Socket774
09/11/30 20:13:02 ZLxaYUuK
自称アルファブロガーは総じてキチガイ

288:Socket774
09/11/30 21:23:48 NSiddILq
SH-Mobileは、もうARMなんだな

ルネサス、1GHz CPU搭載の「SH-Mobile Appliation Engine 4」
URLリンク(k-tai.impress.co.jp)

289:Socket774
09/11/30 21:59:49 NmPo1VmX
>>288
WindowsCEがSHサポート止めるからね…

290:Socket774
09/11/30 22:05:36 uG3d/LPL
あら、そうなの

291:Socket774
09/12/01 00:16:57 Ta7gQeQC
他社製OS使ってると怖いよな……

292:Socket774
09/12/01 02:21:59 UDrZVQap
>>288
URLリンク(ja.wikipedia.org)
要はGシリーズの流れなんじゃないの?

GPGPUに対応するようになるらしいし、SuperHがいらない子になるような……

293:Socket774
09/12/01 20:15:22 /C3MoG3C
そこでRXでつよ。

294:,,・´∀`・,,)っ-○○○
09/12/01 22:46:47 ekg7DMWw
1個3000円か・・・
評価ボードとか1台ウン万円なんだろうな

295:Socket774
09/12/05 12:33:13 ZHwFb1nT
URLリンク(ednjapan.rbi-j.com)
Hypercoreだそうな

296:,,・´∀`・,,)っ-○○○
09/12/05 16:36:51 KKTvEirv
なにこの超イケメン
キャー

297:Socket774
09/12/06 01:28:15 HGXL6vRo
団子ちゃんってその気があったの?

298:,,・´∀`・,,)っ-○○○
09/12/06 01:32:15 nTu8nNRJ
ねーよwwww
ちょっとだけお塩先生に似てるな


299:Socket774
09/12/07 19:35:46 V7UuNYWy
訳:ちょっとだけその気があった

300:,,・´∀`・,,)っ-○○○
09/12/07 23:55:37 3pFyeiTC
お塩先生はだんごやさんの心の師

301:Socket774
09/12/10 20:49:17 VGoFe/d7
2009/12/10 GPUコンピューティングの現状とスーパーコンピューティングの未来
URLリンク(www.slideshare.net)

おもろかったんで張っとく

302:Socket774
09/12/10 21:44:17 LrCZtiXU
緑赤青w

303:,,・´∀`・,,)っ-○○○
09/12/12 16:24:55 LfwDXAhP
クラウドは 逆から読めば 道楽だ

 -○○○

304:S3
09/12/12 18:59:18 ApefDBaM
オレ何色?

305:Socket774
09/12/13 03:16:41 6zAbpAOu
黄色

306:,,・´∀`・,,)っ-○○○
09/12/13 03:19:24 Gw3F5ZKy
ああVIAのマザボの基盤の色か

307:Socket774
09/12/13 06:49:36 g7dw5jYu
いや、まじでs3は黄色
ページ見てみりゃわかる黄色と黒は勇気の標
あとepia,vbやらのボードは青

そういや、黄色のボードってsocket7時代のficとasus位しか
うちにないな

あと、ati/amdって何色?

308:,,・´∀`・,,)っ-○○○
09/12/13 12:43:46 Gw3F5ZKy
クリスマスカラーだよな

309:Socket774
09/12/13 15:36:42 nZtooEKu
クリスマベクトル

310:Socket774
09/12/13 18:03:13 QmFAYWWh
あげ

311:Socket774
09/12/20 10:52:02 qFU9fvno
POWER7でやっとOoO復活したのがうれしいね
インテルが失敗したインオーダーの深パイプライン設計を踏襲したりとしばらく迷走してたからなあ…

312:MACオタ
09/12/23 11:38:27 FLB/nvDP
来年のISSCCでPOWER7の詳細が複数の論文で公開されることは周知の通りです。
URLリンク(www.isscc.org)
  ------------------------
  5.4 The Implementation of POWER7): A Highly Parallel and Scalable
    Multi-Core High-End Server Processor
  5.5 A Wire-Speed Power Processor: 2.3GHz 45nm SOI with 16 Cores and 64 Threads
    (MACオタ注: おそらくPOWER6 - Z10の関係に対応するメインフレームプロセッサ)
  9.3 POWER7 Local Clocking and Clocked Storage Elements
  19.1 A 45nm SOI Embedded DRAM Macro for POWER7 32MB On-Chip L3 Cache
  19.2 A 32kB 2R/1W L1 Data Cache in 45nm SOI Technology for the POWER7 Processor
  ------------------------
今年のまとめとして、Hot Chips 21以来公開された資料からPOWER7についての技術情報を
書いてみます。

313:MACオタ@続き
09/12/23 12:02:21 FLB/nvDP
Hot Chipのプレゼン自体はまだ公開されていませんが、Power.orgが公開している
このプレゼンがKalla氏の講演とほぼ同じものであるようです。
URLリンク(www.power.org)
 ・1.2 Billion transistors
 ・45nm, Cu-SOI, ダイサイズ: 567mm2
 ・2 FXU, 2 LSU, 4 FPU, 1Vector Unit, 1 Branch Unit, 1 Condition Register Unit,
  1 Decimal FPU
 ・6-wide dispatch / 8-wide issue
 ・ 1, 2, 4-way SMT
 ・OoOE
 ・32KB L1-I, 32KB L1-D, 256KB L2 per core
 ・ 8-core + 32MB shared eDRAM L3
 ・up to 32-socket
 ・コア性能向上
  - パイプライン改良
  - L1レイテンシ低減
  - L2とコアを緊密化
 ・チップ性能向上
  - 2-core (POWER6) -> 8-core (POWER7)
  - コア間インタコネクト高性能化
  - off-die eDRAM L3 (POWER6) -> on-die eDRAM L3 (POWER7)
  - Dual DDR3対応メモリコントローラ
 ・省電力機能
  - Power Gating 採用
  - Dose - Nap - Sleep
  - DVFS (Dynamic Voltage and Frequency Slewing)
  - Turbo-Mode (up to 10% frequency boost)
最終ページのプロセッサモジュールの写真は、明らかに>>274あたりのBlue Waters向けの
モノとは異なっているようですから、一般サーバー向けの開発もすすんでいるようです。

314:MACオタ@続き
09/12/23 12:20:17 FLB/nvDP
Power.orgが公開している別の資料にも興味深い記述があります。
URLリンク(www.power.org)
  (p.11)
  ----------------------
  The new POWER7 Core has a total of 18 execution units, including two
  fixed point pipelines bit aligned to the two LSU pipes.
  ----------------------
資料ごとにPOWER7の実行ユニット数の表記は異なっているのですが、>>313でも書いたような
12個という表記が多く、"two fixed point pipelines bit aligned to the two LSU pipes"というのは
FXUのことではなく、LSUにx86のAGU相当のアドレス演算用整数演算ユニットが追加されたもの
と思われます。
その他の追加情報は下記の通り
 ・11 levels of metal layer
 ・L3はコヒーレンシトラフィック低減のためのディレクトリとしても機能する

315:,,・´∀`・,,)っ-○○○
09/12/23 12:40:58 P04lSfD3
>x86のAGU相当のアドレス演算用整数演算ユニット

別モノだよ。
そもそもx86相当のアドレッシングモード自体がないのでAGUのような仕組みは原理的に無理。
ModRM以降の可変長フィールドを解決するものだが、あれは形式にもとづいてアドレス生成専用に
カスタマイズされている。

一方POWER ISAはアドレス演算も通常の整数演算も同じ命令で区別がない。
依存関係を走査すればできなくもないけど、コストがかかりすぎる。
Load/Store + アドレスインクリメントの複合命令ならあるがそれを分解せずに実行するのが一番コストかからんでしょ


逆にx86のアドレッシングモードはポインタをインクリメントしない。
「ARM相当」の間違いでしょ。


316:MACオタ@ここまで
09/12/23 12:54:13 FLB/nvDP
IBMがセミナー資料として公開しているJ. M. Tendler氏のプレゼンのいくつかのバージョン
がネット上で見つかりますが、POWER7のキャッシュ/メモリ階層と、コヒーレンシ維持機構
について詳細に記されています。
URLリンク(www.ibm.com)(Presented+to+Philadelphia+Users+Group,+2009-11-17).pdf
 ・メモリ
  - デュアル・メモリコントローラ
  - メモリコントローラとDIMMの間には"Advanced Buffer Chip"を挟む
   (POWER4以来の"SMI"チップと同じ)
  - メモリコントローラとバッファ間は8-chの高速リンク
   差動インターフェース採用、6.4GHz, 28-byte (total?)
  - DDR3、800, 1066, 1333, 1600MHz サポート
・eDRAM L3 (32MB)
  - ローカル接続メモリの1/3のレイテンシ
  - 単一スレッドが全領域を占有する設定も可能
 ・"Fast Local" L3
  - L3の一部 (up to 4MB)を低レイテンシの高速領域として利用可能
  - 通常L3の1/5のレイテンシ
 ・L2 "Turbo" キャッシュ
  - L2はコアと緊密に結合
  - 上記の『ローカル』L3の1/3のレイテンシ
 ・キャッシュ階層
  L1: write-through, L2: write-back, Local L3: partial-victim, Shared L3: adaptive
 ・メモリコヒーレンシ
  - Global Coherence Throughput: 32GB/s (POWER6) -> 450GB/s (POWER7)
  - 『投機的』コヒーレンシ通信
  - 複雑な共有状態を表現する13レベルの共有ステータス

書き忘れましたが、統合eDRAMに関してSOIを利用したFBC (Floating Body Cell)との
観測もありましたが、トレンチ構造とのこと。
URLリンク(journal.mycom.co.jp)
  ----------------------
  このDRAMはDeep Trenchキャパシタを使用するタイプのものであり、SOIのBox
  (Buried Oxide)層を取り除いてその下のシリコンバルクに深い溝を掘り、その溝の
  側面に情報記憶用のキャパシタを作る。
  ----------------------

317:MACオタ>団子 さん
09/12/23 13:34:48 FLB/nvDP
>>315
  ----------------
  Load/Store + アドレスインクリメントの複合命令ならあるが
  ----------------
"update"オプションのつくlwzu/stwuのような命令以外にも、FXUリソースを必要とする
命令はある様なのです。
gccの最適化ファイルが実行ユニットの内部構造の推測にどの程度役に立つかは謎ですが、
下記は power4 の最適化設定ファイルです。
URLリンク(gcc.gnu.org)
単純ロード (power4-load) 以外は、整数演算リソース (iuX_power4) を必要とするのが
判るかと…

318:Socket774
09/12/23 15:41:42 mVZUFjqa
>>312
5.5って "Power Processor" って書いてあるけどz11のことなの?

319:,,・´∀`・,,)っ-○○○
09/12/23 15:59:33 P04lSfD3
URLリンク(www.hpcuserforum.com)

こっちにダイフォト載ってるよ

320:MACオタ
09/12/23 17:03:11 AbeI9a02
>>318 さん
  -------------------
  5.5って "Power Processor" って書いてあるけどz11のことなの?
  -------------------
言われてみると、Z10も実行ユニットの構成はPOWER6と同じでもISAはPOWERじゃないですね。
勘違いだったかも。
でも他にこのプロセッサの使い道って何なんでしょう?

>>319 団子 さん
そのプレゼンのダイ写真は、>>313>>316に含まれている写真とどこか違いますか?
資料を読まずに脊髄反射で書き込む癖はヤメた方が良いかと…

321:Socket774
09/12/23 18:27:19 JIXOi7Oo
>>257
URLリンク(www.youtube.com)
既に京都議定書にしたがって都合の悪い時だけ自称発展途上国の中国に金を搾り取られているらしい。

322:Socket774
09/12/23 20:43:25 98b6+k8K
>>320
> でも他にこのプロセッサの使い道って何なんでしょう?

wire-speedってんだからネットワークプロセッサなんじゃね?

323:MACオタ>322 さん
09/12/23 20:48:45 AbeI9a02
>>322
  ----------------
  ネットワークプロセッサ
  ----------------
それってボッタくりIBMが最新45nm SOIプロセスで製造した、ダイサイズ400mm2超の
チップが売れる市場なんでしょうか?
FreescaleのG4クラスの製品が強い分野だったような…

324:Socket774
09/12/23 22:37:07 98b6+k8K
うーん、確かに
謎だね

325:Socket774
09/12/23 23:28:56 98b6+k8K
あとはメインフレームのI/Oプロセッサかな
それなら、ある程度値が張っても大丈夫じゃないか?

POWER7よりダイが小さいのにコア数が倍になってるから
メインフレームのCPUってことはないと思う

326:MACオタ>325 さん
09/12/23 23:52:01 AbeI9a02
>>325
  --------------------
  POWER7よりダイが小さいのにコア数が倍になってるから
  --------------------
この辺はPOWER7に限らず、近年のハイエンドプロセッサはアンコア部の面積が大きいので
証拠とは言えないかと。コア自体もメインフレーム向けはVSXが削除されている可能性が
あります。

327:Socket774
09/12/24 04:35:03 gp4aonOu
>>323
クロック控えめでスレッド数も多いし、100GbE用のネットワークプロセッサじゃないの

328:Socket774
09/12/24 20:32:48 +M6CLSPx
IOP纏めてあるサイトってない?

329:Socket774
09/12/25 00:39:17 qiTmHfRD
ネットワークプロセッサーの意見に賛成.
16core 64Threds 2+ GHz ってスペックが
いかにもCaviumのOcteonや旧RMIのNetLogicのXLPの豪華版という感じだ…
# Octeon CN5860が 16core,XLP832 が 8core 32Threds.共に MIPS64

MACオタの言う「ボッタくりIBMがチップ売れる市場」と言えるか謎だけど
ハイエンドの Octeon だと$500~$1000 ぐらい.
URLリンク(www.en-genius.net)

あるいはSun Niagara的な特定用途に強いサーバー用プロセッサーとか?


330:Socket774
09/12/27 21:30:01 WIlOSEOi
x86のμOpsで直接プログラムが書ければいいのに

331:Socket774
09/12/27 21:37:02 Gukhnjyu
メモリウォールに自分で激突するのがお好き?

332:,,・´∀`・,,)っ-○○○
09/12/28 00:46:38 TJ8Uq2yz
>>330
VIAと契約すれば?

333:,,・´∀`・,,)っ-○○○
09/12/28 00:48:53 TJ8Uq2yz
最近はx86命令セットは高級言語だと思うようにしている。

334:Socket774
09/12/28 18:16:47 KTq6oDv5
確かにw

335:MACオタ
10/01/02 20:48:08 i14xgP37
安藤氏の年頭のコラムが出ています。
URLリンク(journal.mycom.co.jp)
  -------------------
  安いからという理由で米国製のスパコンを買うことは、まんまと米国の策略に載るものである。
  -------------------
一見もっともらしい言い分ですが、スパコンを開発することとプロセッサを開発することを意図的に
混同して誤魔化してますね。
ここの皆さんは周知のように、昨年下期のTop500でトップを取ったCrayにしてもプロセッサは他社
製なわけで…

336:MACオタ
10/01/02 21:19:47 i14xgP37
スパコン利権とは全然関係なく、『日本出身』アーキテクチャであるCELL/B.E.はHPC市場で
活躍を続けています。今回紹介するのは、HPCにおける電力効率ランキング"Green500"で
上位を独占するヨーロッパ開発のCELLベース・スパコンQPACEの話。

今年のGreen500ランキングはこちら
URLリンク(www.green500.org)
ご覧の通り同成績で1位にランクされている3システムは全て QPACE SFB TR Cluster です。

URLリンク(www.fz-juelich.de)
このプレゼンは今年初めのものでやや古いですが、アーキテクチャの概要を述べてあります。
QPACEの1ノードは、p.9のブロック図のようにシングルプロセッサのPowerXCell 8iとFPGA製の
ネットワークチップで構成されます。
Roadrunnerとは違ってプロセッサはCELLのみで構成されているのが興味深いかと。
ネットワークは京速と同じく3Dトーラス。

秋のSC09 (Top500でのお披露目)以降の資料はこちら。
URLリンク(www.fz-juelich.de)
URLリンク(www.desy.de)
性能評価や、FPGAの構成などが述べられています。

337:MACオタ
10/01/02 21:38:31 i14xgP37
QPACEは間違いなく『ヨーロッパ製スパコン』と言えるかと思うのですが、プロセッサ
は日本+米国の製品であることは注目に値するかと思います。米国だってRoadrunner
にCELL/B.E.の技術を使うことに躊躇はありません。

HPC方面ではとっくにコア性能の争いよりマルチプロセッサでのスケーラビリティやら
インタコネクトやらが主戦場になっています。
>>335でも触れたように、Crayが一般向けx86プロセッサをコアに使って世界一のスパ
コンを製造し、果てにはあっさり次世代ではAMDからIntelに乗り換えるというのも、そう
した流れの上にあります。
URLリンク(japan.internet.com)
  --------------------
  なお今回の提携は、Cray の『Cascade』プラットフォームから Intel が技術製品を
  提供するというものだ。Cascade は医学や物理学などの複雑な問題を解くために
  用いられる。
  --------------------
そういう意味で、『スパコン開発=ハイエンドプロセッサ開発』というすりかえをやっている
輩は信用できないことが良く判るかと…

そうは言っても、日本発のプロセッサであるCELL/B.E.を評価したのは欧米であって、
日本国内では叩きに余念が無いヒトが未だにいるというのも、ある種興味深い現象で
あったりするわけです(笑)

338:Socket774
10/01/02 21:44:45 4a1yIVtB
>>337
んなこと言っても、この板にある某スレだって
「たくさん繋げるだけだろ」的な連中がいる事も事実。
膨大な数繋げて性能だす事はとても大変で難しい事なのだが…。

339:Socket774
10/01/03 10:08:25 7HLiLGwn
日本で叩いてるのは勉強したくない技術者。
スパコンからハンディ機まで(電力)性能向上にマルチコアはどう考えても不可避なのに
どうしてもそのパラダイムから抜け出せないがゆえに叩いてクライアントの目から隠す。
団子は競争相手が追いついて来ないように叩きネタを日本語でばら撒いて煙に巻いてる変り種。
欧米のエンジニアは立派な大学出てて職の心配する必要ない、
あるいは競争の足止めたら食っていけないの判ってるからニューパラダイム受け入れるに易い。

340:,,・´∀`・,,)っ-○○○
10/01/03 15:40:14 os5qML5t
そこまでご立派なものじゃないよ。
というか、「今使える」ものを選ぶのは理に適った判断だろう。


341:レトリック君
10/01/05 03:09:21 utY/NQWE
>>339
モマエ、脳内だけで書いてるだろ。
並列度上げました、だから性能出るはずですみたいな幻想まに受けて、
使ってるとこ見たこと無いんだろな、修羅場だぞ。
二度と俺の目に付くところに現れるな。

342:Socket774
10/01/05 13:57:41 SimaXuVH
Cellで片付く問題なんてGPUより間口が広いだけでニッチにゃ変わりない
それを不勉強だとか罵ってるんだからただの精神論、最早オカルト
バカは放っとけばよい

343:Socket774
10/01/05 17:10:51 V0dHsAuL
>HPC方面ではとっくにコア性能の争いよりマルチプロセッサでのスケーラビリティやら
>インタコネクトやらが主戦場になっています。

って流れなのに>>341-342みたいな攻撃的弁護がでてくるあたり、
もう日本だめじゃね?Cell叩きがマルチコア叩きにまで発展しててさ。
数々のネガキャンが奏功したってところか。

344:Socket774
10/01/05 20:14:48 SimaXuVH
>>343
>HPC方面ではとっくにコア性能の争いよりマルチプロセッサでのスケーラビリティやら
>インタコネクトやらが主戦場になっています。

その流れでもMACキチは平気でCell擁護しちゃうから笑っちゃうよな
普通の人なら逆説的に「Cellである必要性なんて大して無いんだな」というごくありきたりな答えに辿り着くと思うよ

345:Socket774
10/01/05 20:46:34 on+xKnJH
現状Cellが丁度いいって話だろ現物あるし
しかしどーなってんだろこの働きたくないでござるな脳みそ
MACオタもMACオタならアンチもアンチだよ

346:Socket774
10/01/05 21:19:16 MvN9XxG2
手軽に速くできるなら、コアも速いに越したことはないしな
速いCPUなら、同じ性能なら使う数減らせる→そのぶん性能も出しやすいし接続も楽
また、同じ数使うなら当然性能は上がる。

347:Socket774
10/01/05 22:18:03 lGpud3MX
POWER無敵

348:MACオタ
10/01/06 02:10:02 6srrhf1b
日経BPが今回の事業仕分けに参加した金田教授のインタビューを掲載しています。
URLリンク(itpro.nikkeibp.co.jp)
  --------------------
  世界最大のスパコンの国際会議「Supercomputing2009(SC09)」でもIBMの
  POWER7ベースと富士通のSPARC64 VIIIfxベースの基板が展示されていた
  ようだが、技術が分かる人が見れば富士通劣勢は明らかであると分かったはずだ。
  --------------------
これって安藤氏がMYCOMに投稿したBlue Watersと京速の比較記事に対する痛烈な
皮肉になっているような気が…
URLリンク(journal.mycom.co.jp)
  ====================
  POWER7はチップあたり200Wで256GFlopsであるが、富士通は58Wで128GFlops
  であり、富士通の方が約1.7倍、電力効率が良い。
  ====================
Green500の結果あたりが楽しみですね。

349:Socket774
10/01/06 03:17:50 sXSMFjGi
スパコンというよりコンピューター研究予算であの程度あってもいいよ。
副産物の方が重要だからなこの場合。税金でなく企業が金出したケースがSCEだが、
案の定国内の足並み総崩れだしね。評価したのは欧米。
久多良木も日本では総スカンされるのわかっててIBMと手を組むのにやぶさかでなかったのだろう。
米国なら官民問わず研究予算はものすごい額になってるだろう。

350:MACオタ@続き
10/01/06 03:22:01 6srrhf1b
ちなみに上の記事、金田教授のこの指摘は興味深いかと。
  ----------------
  恐れるべきは、マスコミがよく比較するかつて地球シミュレータの計算速度を
  抜いたIBM製「BlueGene」の後継機である20ペタFLOPS級の最新鋭機ではなく、
  POWER7を搭載したIBMが真に本腰を入れて開発する汎用性の高い最新鋭機
  なのである。
  ----------------
POWER7は米国防総省のプロジェクトHPCS (High Productivity Computing Systems)
において、5社 (Cray, HP, IBM, SGI, Sun) -> 3社 (Cray, IBM, Sun) -> 2社 (Cray, IBM)
と3段階の競争試作で勝ち残ったシステムです。
URLリンク(www.darpa.mil)
HPCSの目標設定には『economically viable』の条件がつけられており、フェーズ間の
中間評価でも商業応用を強く意識して実験的なアーキテクチャは排除されたという
話も伝えられています。

偶然なのか真似たのかは判りませんが、京速プロジェクトの方もHPC専用というよりは
そのままSUN互換サーバーに使えそうなSPARC64 VIIIfxをプロセッサとして選択して、
やはり商用サーバーへの採用も狙っているようです。
IBMと正面からぶつかって勝つ算段があるのか、はたまた富士通一社のプロセッサ開
発を助成しただけなのかも見守るべきなのかもしれません。

351:Socket774
10/01/06 03:25:30 sXSMFjGi
というわけで自説の為に公開処刑狙ってるだけな気がする仕分け人には反対。
処刑した後、類似予算も立ち上げにくくなる。そこまで考えてないだろうな。
原資はどうあれもうちょっと研究関連職潤ってもいいと思うんだ。
日本がここまで消極的なのは貧乏性が故かもしれないし。上の無理解はどこだって変わらないよ。

352:MACオタ>レトリック さん
10/01/06 03:27:04 6srrhf1b
>>341
  -----------------
  二度と俺の目に付くところに現れるな。
  -----------------
他人の掲示板で中二病的に粋がる、この手のカキコミを久しぶりに見たような(笑)

353:MACオタ>351 さん
10/01/06 03:30:37 6srrhf1b
>>351
  -----------------
  研究関連職潤ってもいいと思うんだ。
  -----------------
総枠は増えませんから、京速に投入された予算の分だけ他の研究が割を食う
というのが世の道理なんですが?

354:Socket774
10/01/06 03:34:02 V23zueww
総枠は増えません(キリッ
賢しい…

355:Socket774
10/01/06 03:34:14 sXSMFjGi
ロケット予算も日米比べた場合むこうは国際戦略上の予算だから仕方ないが
確かNASAと比べ日本は人的、資金的にも1/20程度と聞いた事がある。
官だの民だの拘ってる場合じゃないっていう。

356:Socket774
10/01/06 03:36:45 sXSMFjGi
>>353
金は天下の回り物だよ。
ともかく総量が少なく枯渇してるせいで取り合い、
ねたみ合いになるのだと思う。

357:Socket774
10/01/06 12:41:27 LFZP4Sb3
MACヲタはPowerPCになるとハッスルハッスルするなw

358:Socket774
10/01/06 22:57:09 QdfZ+jlU
ほんとIBM大好きなんだから

359:Socket774
10/01/08 21:30:05 syqWnIgs
IBMオタに改名するベキダ

360:Socket774
10/01/09 07:15:48 1Hj9SiNW
URLリンク(gigazine.net)

361:Socket774
10/01/09 10:29:25 gSY2WyGA
これがDSに載るの?

362:Socket774
10/01/09 11:56:19 5ypPQ+Ot
スマートフォン市場ではSnapdragonとの戦いに勝てそうにないな

363:Socket774
10/01/09 16:59:57 Tp8xxeNS
保守age

364:Socket774
10/01/10 20:13:46 iI2ZPkS7
本当の意味で集積回路だからな>モバイル

365:Socket774
10/01/11 20:21:45 lOdJqdSC
何となく気になったので聞いてみるけどGPUでよく聞くFMADと
Bulldozerのブロック図で見たFMACってのはまったく違うもの?

366:MACオタ
10/01/11 22:12:02 sd9ZhS4B
NvidiaのFermi、なんとか量産に漕ぎ着けたようで…
URLリンク(journal.mycom.co.jp)
  -----------------
  この日のイベントはTegraが主役だったが、最後にHuang氏は「NVIDIAのイベントは
  GeForce抜きでは終われない」と、"Fermi"アーキテクチャを採用した「GF100」につ
  いて語り始めた。
  現在、量産段階に入っており急ピッチで生産が進められているという。
  -----------------

367:Socket774
10/01/11 22:24:02 oSx/Cnm7
URLリンク(www.4gamer.net)
同システムは,ご覧のとおりの液冷仕様だが,近づくとものすごい熱を放っていたのが印象的。
PCケースベンダーやOEM関係者が,「NVIDIAの次世代GPUでは,冷却が最大の問題になる」
と口を揃えていた理由がたいへんよく分かるシステムでもあった。

368:Socket774
10/01/11 22:25:53 WT7YPvZF
キャッシュ搭載でようやくまともにshaderが使い物になるな

369:Socket774
10/01/12 03:00:03 hbd0bfPI
>>362
URLリンク(pc.watch.impress.co.jp)

370:Socket774
10/01/12 04:34:21 qNwl3IVW
だがx86ではない

371:Socket774
10/01/12 11:35:07 EfczRBzQ
いいことです

372:Socket774
10/01/12 11:45:10 aeiQwsAS
と思っているのは馬鹿だけです

373:Socket774
10/01/12 12:05:29 8SnHaKjf
Tegra2ってスマートフォン向けとは誰も言ってないよね
タブレット型デバイス向けみたいな事は言われてるけど

374:Socket774
10/01/12 12:19:30 EfczRBzQ
スマートフォンだったらHDムービーの再生は、やるとしても間引き映像だろうしな

375:Socket774
10/01/12 19:36:53 b7/PzBqo
昔話題になったNC辺りか

376:MACオタ
10/01/14 08:16:04 k6CkydoL
次世代Blue Geneである"Sequoia" (BG/Q)の情報が少し出てきたような…


377:Socket774
10/01/14 19:55:53 5zKxy5xD
>>376
どんな感じですか?

378:MACオタ>377 さん
10/01/14 21:57:43 Qfwj0uW3
>>377
検算してみたら、大元のプレスリリースの数字から簡単に導出できる程度の情報でした。
書かなくて良かった…

379:Socket774
10/01/14 22:42:38 5zKxy5xD
>>378
野心的な目標値に思えるのでいったいどんな物が出てくるか興味深いです。

380:MACオタ
10/01/15 20:40:57 B+xNc+Fe
Digitimesがグラフィックカードベンダ筋から拾ってきたFermiの状況です。
発表は3月だが、4月までは入手難らしいとのこと。
URLリンク(www.digitimes.com)
  ----------------------
  Nvidia may see drop in global discrete graphics chip market share in 1Q10
  Monica Chen, Taipei; Joseph Tsai, DIGITIMES [Thursday 14 January 2010]

  Nvidia is expected to see its share of the global discrete graphics chip market
  drop from 65% in 2009 to 60% or even lower due to strong competition from AMD,
  according to sources from graphics card makers.

  Nvidia has refuted the claims saying it expects to see strong demand.

  Although Nvidia plans to launch its 40nm Fermi-GF100 graphics chip in March
  2010, mass shipments are unlikely to start until April, the sources noted. Nvidia
  responded saying its launch schedule remains unchanged.

  On the other hand, AMD has already launched its DirectX 11-supporting 40nm
  ATI Radeon HD 5970, 5870, 5850 and 5750 GPUs and will launch HD 5670, 5570
  and 5450 shortly. The company recently claimed to have shipped a total of two
  million DirectX 11-capable GPUs.
  ----------------------

381:MACオタ
10/01/15 20:44:41 B+xNc+Fe
上記に関連して、TSMCの40nmプロセスの歩留まりが上がらないという記事を
同じくDigitimesが数日前に掲載しています。
魚拓のリンクはAMD次世代スレッドのこちら。
スレリンク(jisaku板:91番)
  -----------------
  Foundry chipmakers, including Taiwan Semiconductor Manufacturing Company
  (TSMC), have been struggling to increase their yields on 40nm to over 70%,
  according to industry sources. The unsatisfactory yield rate has caused
  production for next-generation graphics processors and FPGA (field-
  programmable gate array) chips to run tight.
  -----------------

382:MACオタ
10/01/16 20:10:48 wq4dS+HM
Fermiの歩留まりですが、もう少し詳しい情報が台湾HKEPCより。
URLリンク(www.hkepc.com)
  ----------------------
  但近日外間據消息指出,目前 Fermi 繪圖核心的實際良率僅有約 20 %
  ----------------------
 ・Fermiの歩留まりはおよそ20%程度
 ・このため、3月に発表されても供給状態がまともになるのは4月
 ・一方、AMDのHD5000シリーズの歩留まりは60-80%程度

383:MACオタ
10/01/16 20:46:10 wq4dS+HM
富士通/SUNより発売されたばかりのSPARC Enterprise M3000
(Quad-Core SPARC64 VII/2.75GHz x 1)のSPEC2006が登録され
ています。
URLリンク(www.spec.org)
似たような動作周波数のx86シングルソケットシステムとの比較は下記の通り。

 (base/peak)       int       fp       int-rate    fp-rate
SPARC64 VII/2.75GHz 13.6 / 14.8  15.2 / 15.9  45.4 / 49.1  38.1 / 40.4
Xeon W3520/2.6tGHz  27.4 / 30.7  32.5 / 33.8  94.1 / 101  74.4 / 77.8
Opteron2384/2.7GHz  17.4 / 21.0  19.5 / 21.5  56.9 / 67.7  53.2 / 59.7

クロックだけはx86並になっても性能はも一つの様で…

384:MACオタ@続き
10/01/16 20:55:49 wq4dS+HM
上のカキコミの参考リンクです。
■ SPARC64 VII/2.75MHz (富士通 SPARC Enterprise M3000)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)

■ Xeon W3520/2.67GHz (富士通 CELSIUS M470)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)

■ Opteron 2384/2.7GHz (HP ProLiant DL165 G5p)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)
URLリンク(www.spec.org)

385:Socket774
10/01/18 18:24:31 aQpiTUrI
SPARC64 VII/2.75MHz→2.75GHzだね
SunとFujitsuは同じ物?

386:Socket774
10/01/19 01:07:33 rKHsCX/F
Xeon(Nehalem-EP)とOpteron(Istanbul)で
組んだので簡単な性能測定結果。評判のNehaってこんなもの?
これならXeon E5540とOp 2431で似たようなモノで、Opのが安い。

Sandra 2009 SP3 Benchmark Result on WinXP-Pro SP3
======================================================
CPU   XEON E5540   OPTERON 2435  C2Q Q6600
Core   2x 4core+HTT  2x 6core       1x 4core
TDP    2x 80W      2x 75W        1x 105W
Clock    2x 2.53GHz    2x 2.60GHz     1x 2.40GHz
Memory 6xDDR3-1066R  4xDDR2-800R   4xDDR2-800
M/B    Super X8DT3   Tyan S2927E    Dell 755
ChipSet  Intel 5520     NFP3600      Intel Q35
------------------------------------------------------
Int.    132GIPS     106GIPS      37GIPS
F.P.    119GFLOPS   102GFLOPS   29GFLOPS
1'Cashe  419GB/s    485GB/s     201GB/s
2'Cache  258GB/s    307GB/s      33GB/s
3'Cache   91GB/s     81GB/s       (Non)
Memory  13.9GB/s    20.0GB/s     4.7GB/s
FP*Mem  1654      2040      174
------------------------------------------------------
MM-Int   239MPix/s    284MPix/s    80MPix/s
MM-FP   192MPix/s    134MPix/s    50MPix/s
MM-Dbl   100MPix/s     73MPix/s    25MPix/s
Cording  761MB/s     1000MB/s     364MB/s
------------------------------------------------------
FileSystem NTSC by LSI_MegaRAID-SAS_RAID5 (4xSATA)
・R.Read  66MB/s      62MB/s      ----
・R.Write  32MB/s      60MB/s      ----
・S.Read  123MB/s     340MB/s      ----
・S.Write  48MB/s     270MB/s      ----
======================================================


387:Socket774
10/01/19 01:08:51 rKHsCX/F
NehalemはInt.とF.P.は速くて、キャッシュは少し遅いだけだから、
キャッシュ内で完了する処理なら「速い」とは言えるし、MultiMedia
のF.P.と倍精度は3割も速い(逆にCording/暗号化は遅い)。

でもメモリーが絡むとガックリ遅くなる。DDR3-1066MHz×3chで
理屈の上ではOpteronのDDR2-800MHz×2chより速い筈なのに。
これなら今回組んだOp.2435(2.6GHz)の下のOp.2431(2.4GHz)で
メモリーが絡む大半の処理では、ほぼ同等性能になると読める。

そんな記事見たことないから質問してみた次第。
Super X8DT3の設定でも狂っているのかな?

これが設定ミスでないなら、OpteronのIstanbuleはNehalemに
負けてないって事になる。・・・・・詳しい人、教えて下され!

388:Socket774
10/01/19 01:09:38 rKHsCX/F
528 名前:Socket774[sage] 投稿日:2010/01/01(金) 12:22:44 ID:lEhCwdPM
TOP500だとNehalem-EPとBarcelonaでコア辺りのクロック性能同じ位だね。
参考になるのかどうか判らないが。
でも実質Intel専用ベンチのSandraで差が付かないってのは凄いな。


389:,,・´∀`・,,)っ-○○○
10/01/19 02:40:36 V4ouHagw
LINPACKってFP演算ユニットの並列度×クロック数が素直に現れるぞ

390:MACオタ>386-388 さん
10/01/19 02:52:40 Xfz8gXKr
>>386-388
コピペなのは存じ上げていますが、検索してみるとあちこちに貼られているようですので
回答しておきます。
  ---------------------
  でもメモリーが絡むとガックリ遅くなる。
  [中略]
  そんな記事見たことないから質問してみた次第。
  ---------------------
流石にSandraは有名ベンチマークですから同種の記事は検索すれば簡単に見つかります。
URLリンク(www.bit-tech.net)
こちらの"unbuffered memory test"は、その一例ですね。それでも注目すべきなのは
メモリレイテンシでOpteronを圧倒している点です。たしかアム虫さんってPentium4は帯域番長
とかで、AMD製品はメモリレイテンシが優秀だから速いって主張していたのでは?

実はメモリ帯域の方も測定法で大きく異なる様で、同じbit-tech.comのistanbulベンチでは
こういう結果が(笑)
URLリンク(www.bit-tech.net)
bit-techの言い訳はこちら。
  --------------------
  We started by retesting the Xeon W5580, as a new version of Sandra, which supports
  Intel's implementation of NUMA, has been released since our original review. These
  new results show that the Xeon W5580 system has significantly more memory bandwidth
  and lower latency than either Opteron system - an important consideration if you're
  running lots of apps together such as a server used to power multiple virtual machines.
  ---------------------

まあ結果を推敲せずに、脳内妄想に一致するとコピペしまくるアム虫さんの習性ががGoogleの
検索結果に晒されただけ…というのが結論ですか。

391:Socket774
10/01/19 18:10:16 L68f3Acx
WinXPってNehalemのNUMAに対応してたっけ?

392:MACオタ
10/01/20 19:46:22 sipYmIQS
今日はTheRegisterより目ぼしいニュースが二つ。
まず、IBMの2009Q4業績の電話会議でIBMのCFO, Mark Loughridge より POWER7
のリリース時期が示されたとのこと。
URLリンク(www.theregister.co.uk)
  -----------------------
  "Later [in Q1], we'll introduce the next generation Power Systems, which will
  deliver two to three times the performance, in the same energy envelope,"
  Loughridge told the assembled Wall Street multitudes on Tuesday.
  -----------------------
 ・今四半期中にPOWER7製品が発表される
 ・45nm CPUプロセスの立ち上がりは順調で、65nm世代より5ヶ月は短かった。
 ・今年中にPOWERサーバーはPOWER7世代に更新される

393:MACオタ
10/01/20 20:09:11 sipYmIQS
もう一つは龍芯3号を使った中共の国産スーパーコンピュータ 『曙光 6000』が
今年完成予定とのこと。
URLリンク(www.theregister.co.uk)
  ---------------------
  Weiwu Hu, chief architect of the Loongson processors developed by ICT, told
  Technology Review that the future Dawning 6000 super, presumably based on
  the quad-core Loogson-3 MIPS-style processor, would be finished by the middle
  of this year and operational by the end of 2010.
  ---------------------
元ネタは MIT Technology Review のこちらの記事。
URLリンク(www.technologyreview.com)
概要は次の通り。
 ・昨年登場予定が今年に遅れた
 ・量産版マスクのテープアウトは昨年12月末。STMicro にて量産開始予定。
 ・遅延した分、65nm世代で8-16コアバージョンが出てくるかもしれない

噂のx86エミュレーション機能に関しては、TheRegs の Morgan 記者によると、IEEE Micro
に掲載された論文、
URLリンク(www.computer.org)
には、x86エミュレーション支援命令の追加によりネイティブコードの70%程度性能で
x86コードが実行できるという記述があるとのこと。

394:MACオタ
10/01/20 20:47:31 sipYmIQS
IBMの昨年第4四半期の業績ですが、CELL/B.E. と Xbox360 CPU の設計サービスで
がっつり稼いだ2006年以来、長期低落が続いていた Microelectronics 部門がちょっと
上向いたとのこと。
URLリンク(www.theregister.co.uk)
  ---------------------
  On the Microelectronics front, chip sales were up 2 per cent in the quarter,
  and Loughridge said that the 300mm wafer baker in East Fishkill, New York
  was nearing full utilization and that 45 nanometer output was sold out again
  this quarter. No doubt some of that wafer baking capacity is being pressed
  into action to crank out Power7 chips and probably the z11 mainframe engines
  too. ?
  ---------------------
最後の一節は Morgan 記者の推測に過ぎませんが、45nm ラインもフル操業体制に
なっているとか。

395:MACオタ
10/01/23 16:14:50 ebeYPP2S
牧野教授の『スーパーコンピューティングの将来』が今月は怒涛の更新ですね。
最新はコレですが、スーパーコンピュータ関係のスレッドでまた怒り狂うネトウヨ
さんが現れそうな…
URLリンク(www.artcompsci.org)
  --------------------
  そういう見積もりをもってくるのは、そういうので買ってくれる人がいるからですから、
  買うほうに問題がある、ということではあります。国立大学の計算機センターや、
  理研の次世代にしても結局は同じ問題、というところもあります。ハードウェアの思想
  が15年遅れ、というだけではなくて、計算機を買う側の意識が、計算機というのは大変
  高価なものであるという 30年くらい前の意識のままである、ということです。
  --------------------

396:MACオタ
10/01/23 16:52:14 ebeYPP2S
ここのところしばらくカキコミしていなかった間の POWER/PowerPC 関係の大きな
ニュースは IBM の新組込コア "PowerPC 476FP" です。
URLリンク(www-03.ibm.com)
 - LSI との共同開発
 - 1.6GHz @ 45nm SOI
 - 1.6W, 3.6mm^2
 - 256k - 1MB L2 support
 - PLB6 local bus, support 1 - 16 core

順当に考えると、これにHPC専用 Book-E 規格 APU を組み合わせたモノが
"Sequoia" (BG/Q) のプロセッサになりそうです。

実は今週もこのPPC476、ちょっとニュースに顔をだしていました。
URLリンク(www.eetimes.com)
  -------------------
  LSI announced in September it helped IBM Corp. developed the multicore PowerPC
  476FP. A four-core version running at up to 1.6 GHz is now available from LSI in
  TSMC's 40nm process.
  -------------------
共同開発の権利なのかどうかは不明ですが、TSMCでも製造できるようです。同時に
LSIは 500MHz eDRAM を顧客の設計に提供するというアナウンスもしてます。

ところで私にはハイエンドネットワークプロセッサと言えば、この辺のコアを使用した
SoC 製品になるような気がするのですが、>>312の "Wire-Speed Power Processor"
の正体が何なのかは、来る ISSCC の発表が楽しみです。

397:Socket774
10/01/23 17:59:53 pI7SLEoh
また自分を棚にあげて牧野はしょうもないこと書いてるな

398:Socket774
10/01/23 19:02:05 uTurV4UX
銀ピカの棚?

399:MACオタ
10/01/23 21:03:13 Mz/BEPLA
>>393の龍芯3号ですが、一昨年のHot Chips 20のプレゼン資料が公開されて
います。
URLリンク(www.hotchips.org)
個人的には今までの報道を勘違いしていた部分がありました。
 - 龍芯3は MIPS64 の "GS464" コアと SIMD プロセッサの "GStera" コア
  のヘテロジニアス構成
 - 報道中で出ていた『コア数』は上記の2種類のコアの合計


400:MACオタ@補足
10/01/23 21:07:36 Mz/BEPLA
そういう訳で、今年のTOP500で5位に輝いたGPGPUクラスタ天河1号も
含めて、中共のスーパーコンピュータ・プロジェクトはヘテロジニアス
路線に専念していると言えそうです。

401:MACオタ
10/01/23 21:28:12 Mz/BEPLA
ちょっと古いニュースですが、>>382-383あたりで書いたTSMC 40nm プロセス
の歩留まり、現状で解決されているというニュースが流れています。
ソースは Digitimes ですが、すぐ読めなくなるので DailyTech の記事を
引用しておきます。
URLリンク(www.dailytech.com)
  -----------------------
  DailyTech spoke with a TSMC spokesperson yesterday, who stated
  that TSMC's 40nm yields are now "approximately at the same level"
  as the more mature 65nm process. Semiconductors are made in
  lithography chambers, and the process can be comprised of several
  hundred steps. Usually a new manufacturing process is developed
  and refined in a test fab and then transferred to production
  lines in a process called Chamber Matching. This theoretically
  ensures standard conformity and higher yields. There were several
  problems with chamber matching on TSMC's 40nm lines, leading to
  yield problems despite using the same process and recipes.
  -----------------------

402:Socket774
10/01/24 02:32:46 L+Rd/SCB
>>399
オタさんとあろうものが勘違いですか

たしか、英語だったけどそのものずばりの記事があったよ
ちょっとブクマを参照できない状況にあるので
アドレスは貼れないんだけど

403:MACオタ
10/01/24 03:09:57 Q/AWDBGf
>>396に書いた PowerPC 476, もう少し調べてみました。

まずこちらのプレゼン資料は概要を判りやすく書いてあります。
URLリンク(www.power.org)
HPC向け SoC に使用される筈の Book-E APU (演算器やレジスタの内部拡張仕様)に
関しては、この資料の P.6 に次のような記述があります。
  ------------------------
  ・ High performance out-of-order auxiliary processor pipeline interface
   - Support the floating point unit
   - Support for future accelerator extensions such as VMX
  ------------------------
ますますもって、Sequoia のベースとなる公算は大きいかと。

更に 32nm 世代までは予定に入っているようで、こちらのプレゼンの P.7 にロードマップ図
が掲載されています。
URLリンク(www.cn.power.org)
 - 476FP 12S: 45nm世代, 1.6GHz, 3.5mm^2 / 1.6W
 - 476FP 13S: 32nm世代, 2.1GHz, 2.5mm^2 / 1.3W
その他、P.34にはチップ内バスの PLB6 の帯域幅が 102.4GB/s であること、P.35 には
PPC440 直系である PPC464FP と比較して 7段パイプラインから 9段パイプラインにした分
ステージあたりのロジックは 37FO4 から 26FO4 に減少し、動作クロック向上に寄与している
ことが記されています。

積極的なロードマップから見ても、どうやら IBM の今後の組込向けコアはこの系列で決定の
ようで、現世代のゲーム機に使用された PPE / PX コアはお払い箱になったようです。
次世代 CELL/B.E. があるとすれば、制御用 POWER ISA コアも PPC470 系列の設計になる
のではないでしょうか。

404:MACオタ>402 さん
10/01/24 03:13:00 Q/AWDBGf
>>402
  ----------------
  オタさんとあろうものが勘違いですか
  ----------------
てっきり GS464 も GStera も同じ MIPS64 コアで、GStera の方には Altivec や SSE のような
かたちで SIMD ユニットが追加されているモノと解釈してました。

405:MACオタ
10/01/24 03:40:14 Q/AWDBGf
龍芯情報、少し追加。
URLリンク(blogmag.ascii.jp)
  -----------------------
  これが今までの龍芯のように「創ったという結果を残して終了」ではなく、スパコン
  「曙光」のロードマップでは、曙光6000に8000超の龍芯3号を載せるとしているし、
  また上海のお隣、江蘇省政府は15万台の龍芯3号搭載PCを購入することを約束し
  ている。後者は額にして、2009年年末に5000万元(約6億8000万円)、今年と来年で
  それぞれ1億5000万元(20億円強)を支払うのだそうだ。15万台を50億円弱で購入す
  るのなら、平均して1台あたり3万円強となる。
  -----------------------
山谷氏は過去記事で龍芯を散々ネタ扱いしてきましたが、今回は好評価のようで…


次ページ
最新レス表示
レスジャンプ
類似スレ一覧
スレッドの検索
話題のニュース
おまかせリスト
オプション
しおりを挟む
スレッドに書込
スレッドの一覧
暇つぶし2ch