CPUアーキテクチャについて語れ 15at JISAKU
CPUアーキテクチャについて語れ 15 - 暇つぶし2ch250:Socket774
09/03/17 10:07:22 YKEkFnV/
黄色の頭巾を大量に中国に送りたい。

251:Socket774
09/03/17 17:23:02 9WTXAp/l
産総研、女性型ヒューマノイドロボット「HRP-4C」を発表
URLリンク(robot.watch.impress.co.jp)


連続稼動時間は20分を想定。全身動作生成用にIntel Pentium M 1.6GHz。
頭部には音声認識用コンピュータとしてVIA C7 1GHzも内蔵。

252:Socket774
09/03/17 20:30:33 mZ3jY0zI
セクサロイドキボンヌ

253:,,・´∀`・,,)っ-○◎●
09/03/17 20:31:55 pnCjU0P4
ktkr

254:Socket774
09/03/18 01:33:59 PPonJ+dn
アーム社の32ビットのARMプロセッサ、8ビットや16ビット市場も狙う
URLリンク(eetimes.jp)

 英ARM(アーム)社は、ARMプロセッサとしてはチップ上の占有面積や消費電力が最も低いとする32ビットのプロセッサ・コア
「Cortex-M0」のライセンス供与を開始した。180nmの超低消費電力版(ULL:Ultra Low Leakage)プロセスを使って実装したところ、
回路規模は1万2000ゲート未満であり、消費電力は85μW/MHzに抑えられたという。

「従来の最小プロセッサ・コアであるCortex-M3の回路規模は3万3000~6万ゲートであり、M3を採用したプロセッサのうち最も安価な
ものは約100円だった。M0の回路規模は同性能のM3の約1/3であり、さらに低価格化が可能である。このため、8ビット/16ビット・プロ
セッサを置き換えることが可能になる」(同社)と主張する。

ボタン型の1次電池で稼働するような機器に向ける。例えば、センサーの前処理、携帯機器のユーザー・インターフェース、メモリー・
コントローラの制御などを想定する。
 Cortex-M0は、ARMv6-Mアーキテクチャを採用する。処理性能は0.9DMIPS/MHz。命令セットはCortex-M3のサブセットで、32ビット命令
6個、16ビット命令48個からなる。メモリー管理ユニット(MMU)とハードウエア除算器は備えていない。

255:MACオタ
09/03/26 02:44:19 5CfJIkGU
Roadrunnerに続いて、IBMのメインフレームとCELL/B.E.を組み合わせたシステムが
UCSDに導入されるとのこと。
URLリンク(www-03.ibm.com)
  -------------------
  In addition to multiple peripherals and additional support, the IBM award consists of the
  company's newest System z10 Enterprise Class server with the Cell Broadband Engine (Cell/B.E.).
  -------------------
このリリース、ちょっと皮肉をこめた一節もあったりして(笑)
  -------------------
  To that end, the UC San Diego researchers are already experimenting with multi-core
  processors for client-side computing, including the Intel chip code-named Larrabee, which
  won't be commercially available until early 2010, along with the IBM Cell processor.
  -------------------

256:Socket774
09/03/26 22:24:08 Wavrtx14
IBMがCell/B.E.およびPowerアーキテクチャから撤退か?

IBM DeveloperworksでのCell,Powerコーナーが終了する。
サイトには夕日の絵が描かれURLには「pa-goodbye」(pa = Power Architecture)の文字が。
投稿日は3月13日の金曜日とされている。(実際に掲載されたのはもっと後。)
URLリンク(www.ibm.com)
DeveloperworksでのPowerに関する記事は2008年11月25日で更新が止まっていた。

257:,,・´∀`・,,)っ-○◎●
09/03/26 22:26:13 mFXFfulO
SPARC64でも使うのかな

258:Socket774
09/03/26 22:31:56 z8oe76CF
amdと合併とか

259:MACオタ>256 さん
09/03/26 22:42:20 5CfJIkGU
>>256
Developerworksの他のコーナーも見てみると良いと思いますよ。
URLリンク(www.ibm.com)
普通にリストラ中ということで。

260:,,・´∀`・,,)っ-○◎●
09/03/26 22:49:41 mFXFfulO
Sunを現金で買い取る一方でPAのdeveloperWorksスタッフは解雇
まあ、お察しします

261:Socket774
09/03/26 23:39:15 jtD1WW1f
PAって書くとHPのPA-RISCの方を連想して紛らわしいな
もう終息してるからあれこれ言うのは野暮かも知れんが

262:MACオタ
09/03/27 00:06:21 85gu3R9l
ちょうどこういうニュースも来ています。
IBM to cut 5,000 jobs in U.S.
URLリンク(www.eetimes.com)


263:,,・´∀`・,,)っ-○◎●
09/03/27 00:09:07 dpz/20w5
ソフト開発者向けのサービスを疎かにするのは結局自分たちの首を絞めることに・・・
Sunを統合してJavaで食ってくのか?w

264:Socket774
09/03/27 00:15:04 ymK+lOIt
Cellって結局PS3とSpursエンジンにしか使われてないからなぁ。
きちんとプログラム作れば性能出るんだろうけど、プログラムを
作るのが非常に大変という本末転倒さ。

265:Socket774
09/03/27 19:03:16 3AUwlsJd
アメ車みたいなもんかな。

エンジンだけ大排気量で馬鹿っ速だが、足回りその他が全くついていってないから
カーブが多くて直線が短い一般道では、日本の大衆車にすら負けるって感じ。

266:Socket774
09/03/27 19:54:34 s/gWg+GC
やっと出てきたか。

ルネサス、次世代32bitCPUコア「RX」を採用したマイコン「RX610」
URLリンク(pc.watch.impress.co.jp)

267:,,・´∀`・,,)っ-○◎●
09/03/27 20:21:37 dpz/20w5
三菱のカラーが強いな

268:OOO
09/03/27 20:26:06 N+VRP1rM
ちなみにみな気がついているだろうが、
RXのマイクロアーキは、OOO実行ではなくてOOO完了です(スライド参照)。

269:OOO
09/03/27 20:32:52 N+VRP1rM
三菱と日立の製品群が統合されて、ルネサスにとって都合良いだけって
製品だな。つまらん。可変長でコードサイズ削減なんて時代錯誤な宣伝
は言い訳がましいからしなくてもよろしい。

270:OOO
09/03/27 20:48:14 N+VRP1rM
Javaの利権と、x86 & Solarisサーバがほしかったのか。
今更、SPARCとPOWERの未来に期待してる奴なんて一部のオタ以外
殆どいねーし、ハイエンドCPU開発なんて10年先考えたら将来性のないお荷物は
早めに切り離すべきで、さすがだと思いますが。

271:Socket774
09/03/27 22:39:57 D8pW24Qi
IntelやMicrosoftと戦っても疲弊して自滅を招くだけだってことをCellで学んだんだろう。
もしSUNを買収したとしてもSPARCもやめるだろうね。
今後はx86 CPUを採用することになるだろう。
クラウドでも顧客はWindowsを動かしたがるから
アーキテクチャにはx86を採用せざるを得ない。
エミュレーションでは他社のx86サーバと張り合えない。

272:Socket774
09/03/28 04:24:38 ijDD2zWW
Power PC 615が日の目を見ていればもしかして今頃の流れは違ったかもしれないのに・・・。

273:Socket774
09/03/28 09:55:35 8O/se9QV
だんご屋が死んでた

274:MACオタ
09/03/28 11:09:25 cu35dzXH
>>271 さん
それはFUDに分類される流言飛語かと(笑)

さて、通信分野は元々Motorola PPCの得意分野だったのですが、IBMもこちらへ進出という話題。
例によってすぐ逃げ出せるように、他社を巻き込んでの参入です。
URLリンク(www.power.org)
  ---------------------
  GDA Positioning to Make a Major Impact in 3GPP and WiMAX/LTE Being a Power
  Architecture VAR
  Powerful 405S and 460S PowerPC technology enables IPTV and 4G applications
  ---------------------

275:MACオタ
09/03/28 11:41:10 cu35dzXH
NVIDIAがx86参入に備えて、VIAの株式を大量取得したという噂が流れています。
オリジナルのソースはDigitimes。例によって全文引用しておきます。
URLリンク(www.digitimes.com)
  --------------------
  Nvidia may take up VIA shares through private placement, say sources
  Monica Chen, Taipei; Steve Shen, DIGITIMES [Friday 27 March 2009]

   VIA Technologies plans to sell 300 million new shares at a price ranging from
  NT$9-12 (US$0.27-0.35) per share through private placement, the company has
  announced. Nvidia is reportedly in talks with VIA to take up a portion of the new
  shares, according to market sources.
   VIA commented that possible candidates for the private placement will be made
  clear after a shareholder meeting scheduled on June 19. Nvidia declined to comment
  market speculation.
   n additional news, VIA also announced plans to invest an extra NT$900 million i
  nto its optical storage making subsidiary, VIA Optical Solution.
  --------------------

276:Socket774
09/03/28 12:24:51 urks/wnt
NDIVIAになるのかね

277:Socket774
09/03/28 12:28:36 mgXxdVsL
新株3億全部手に入れても
16%程度か

278:Socket774
09/03/28 12:41:58 mgXxdVsL
たとえ、買収してもまたライセンス問題で、結局x86は使えない

この場合intelにとって一番楽なのは、nvidiaにviaを買わせて、体力を削ぎ
x86ライセンスを絶対に与えないこと

労せずして、via,nvidiaを潰せるね

279:Socket774
09/03/28 12:58:30 7MUOIGUr
どこの曹操?

280:Socket774
09/03/28 13:27:28 xSDMqt/i
でも、VIA、NVIDIAが持っている、それぞれのクロスライセンス対象のライセンスが一社にまとまったら、
交渉力を増す要因にもなりかねないんじゃないの?
こういう政治的な話は外部からだとよくわからんが。

281:,,・´∀`・,,)っ-●◎○
09/03/28 13:34:16 D0rPPE4r
>>273
地上げ屋にURL乗っ取られましたwww
URLリンク(dango.chu.jp)

282:Socket774
09/03/28 19:47:05 d6riGsWC
>>269
そうか?

もうプロセスシュリンクで簡単に性能ウプや低消費電力化、高クロック化が出来なく
なってきてるのだから、コード量削減ってのは組込ファーム向けには良い方向性だろ。

その分消費電力とシリコン量が減らせられるからウマーだ。

283:Socket774
09/03/28 23:12:57 X+Xzij9K
組み込みはコード効率(ROM占有効率)と実行効率(MHzあたりの性能)が
求められるからね。汎用のPC用プロセッサとはベクトルが違う。

284:Socket774
09/03/28 23:30:55 jXaUus+y
ROMが超貴重だった昔ならいざしらず、
今時コードサイズなんてちょっと減らせても意味ナス。

285:Socket774
09/03/29 00:37:23 RobBaWnY
ROMはともかくRAMが小さいんや!
128バイトしか無かったりするんや!

286:Socket774
09/03/29 01:16:05 kPkNMp4G
>>285
もしそうだとしてもコード効率は関係ない。
プログラムで使える状態数(変数)が少なくなるだけ。

287:Socket774
09/03/30 01:54:06 GuBHYpeo
IBM が POWER/PowerPC 止めて Sun 買って SPARC か,
あるいは x86 に集中するっていうのはアリかもしれないけど,

そうすると System z のプロセッサーはどうするのかね?
z6 の場合,POWER6 と設計を共用していたらしいけど
URLリンク(journal.mycom.co.jp)

※あるいは「汎用機はもう止めます」ってことかな?


288:Socket774
09/03/30 09:19:30 B7IAeIDz
IBMが今POWERを止める理由はないだろ

289:Socket774
09/03/30 11:21:53 jFt+vALH
時代が巻き戻っている今なら,IBM Blue Lightningでも勝負になるってか



290:Socket774
09/03/30 16:26:37 lBGkUFKl
CELLがPowerでなくなるとかそういう可能性はないかな?
SPUのみになってCPU外付けになるとか。

291:Socket774
09/03/30 19:42:18 59769dHB
某芝はもうほっといてやれ

292:MACオタ
09/03/30 19:52:09 Da0yd18P
POWERの行末云々はFUD入っていると思いますが、IBM自身はx86サーバーこそCELL/B.E.を
含むアクセラレータが必要と考えているとのことです。
URLリンク(www.theregister.co.uk)
  ---------------
  To that end, IBM's top techies are working on hybrid computer systems that will
  employ a mix of the following:
   - General purpose systems: More or less akin to the standard x64 machines we have today
   - Domain-specific application accelerators: Created and optimized for very specific jobs.
    Think of the economic modeling that drives financial trading systems, where interest
    rates change 7 to 10 times per second, but models can't predict effects of those changes
    in real-time to decide what to do as they are changing
   - Compute-intensive acceleration: Think of all those vector math co-processors inside
    the Power6 or Cell processors - and add some steroids
   - High-speed, network traffic optimization: Allows hybrid components to talk to each
    other at high speed and to interface with the outside world
  ---------------
実際、この不況でIBMのサーバー製品群のうち、最も打撃を受けたのはPCサーバーのx-seriesで
すから、差別化を図る必要に迫られているのも当然かと。

293:Socket774
09/03/30 19:59:21 UKRMry7P
差別化ていってもCELLに使い道あるのか

294:,,・´∀`・,,)っ-○◎●
09/03/30 21:21:02 CPSTJJC2
文字通りのPCサーバーなら、Cellなんてそもそも必要とされてないね。

295:Socket774
09/03/30 21:33:10 Qn8XP2VH
xSeriesが使われる通常業務用途・・・DB鯖、メール鯖、Web鯖、ファイル鯖
においてまったく必要とされていないCell B.E.で差別化を図るって意味不明

296:Socket774
09/03/30 22:19:54 ype1V4z8
物量がモノをいうだけにCellに最適化すりゃ早そうだ。
どのみちストリーム形式に置き換えなければマルチコアで性能でないなら、
現物のあるCellで力技やって結果出だして給料もらうか、
すべての処理をマルチコアに最適化してくれるような
人件費削減に効果覿面な万能コンパイラが誕生する20xx年まで待つか、
誰が何処に金と人材投じる判断するか次第。
環境構築を待つべきと訴えたいのはわかるけどどっちに転んでも大変。

297:,,・´∀`・,,)っ-○◎●
09/03/30 22:34:23 CPSTJJC2
> 物量がモノをいうだけにCellに最適化すりゃ早そうだ。

Atomでクラスタリングすればー?www どっかのMSがやってたみたいに
SPEは疑似スカラプロセッサとして使ったらローカルストレージに収まるプログラムですらPentium III程度かそれ以下ですよ

298:,,・´∀`・,,)っ-○◎●
09/03/30 22:42:08 CPSTJJC2
どうみても大学や研究所向けなんだが

299:Socket774
09/03/30 23:10:53 Z+o8Q6ha
MSのATOMクラスタは実にバカっぽくて良かった。
お前らどこのパソコン同好会だよってw

300:Socket774
09/03/31 09:10:46 mxpA4WNe
16コアくらいのATOM出さんかな。

301:Socket774
09/03/31 09:26:53 TfgcR1cx
Atomのクラスタって一発芸でしょw

302:Socket774
09/03/31 09:32:03 7pf4NaiR
ニコニコ技術部ならはちゅね制御にATOM一個割り当ててクラスター制御で集団ネギ振りとかやってくれそう
そのくらいの一発芸だな

303:Socket774
09/03/31 18:29:54 XJtlAw4F
CPUソケット数を増やすと、オマケでOSの代金も増えていくという問題があるが。

304:OOO
09/03/31 19:24:05 l/vZRUst
サーバこそCellってどんな電波だよ。
Niagara演算みたいにイサギよく整数特化ならわるが、
FPアクセラレートして何するんだ?

305:OOO
09/03/31 19:25:07 l/vZRUst
>>283
組込ファームが生産性よりもコード効率重視ってイメージがあるのはわかるのだが、
現実の組込ファームは、今やコード効率よりも短納期開発、多品種/派生開発、メンテナンス性
などの小回り命が主流なんだよな。内蔵ROMも大きくなってるし。

306:Socket774
09/03/31 23:01:07 ENbYcFLT
EE+GSはもう500円もしないんだろうな

プレイステーション 2の価格改定―北米は99ドル、欧州は99ユーロに
URLリンク(plusd.itmedia.co.jp)

307:Socket774
09/03/31 23:05:28 dY3nKzBK
URLリンク(www.engadget.com)
サイコガンダムに一歩近づいたな

308:Socket774
09/04/01 19:05:31 zli/qrzh
ロシア語で考えなくてもいいのか?

309:Socket774
09/04/01 19:53:15 gTF/LJXI
めんどくせえからエスペラントにせよ

310:Socket774
09/04/01 19:57:37 WuZ/mgRy
米シリコン・グラフィックス、連邦破産法11条の適用を申請
URLリンク(headlines.yahoo.co.jp)
>シリコン・グラフィックスの債権者には、インテル・アメリカ、キモンダ・ノースアメリカ、IBMなどが含まれている。

311:Socket774
09/04/02 20:53:18 BTZqSxgl
NEC、2時間のHD映像を約22秒で転送--超高速な次世代インターフェースを開発
URLリンク(japan.cnet.com)

312:Socket774
09/04/02 22:59:02 O1ZHITOr
CPUアーキテクチャのスレだから、
分岐予測の優劣を語ったり
SMPとAMPのメリットデメリットを論じているのかと思ったら
何も語ってないですね。アーキテクトの人は居ないのですか?

313:Socket774
09/04/02 23:07:18 C7tyVLIa
アーキテクトの人はいないし
アーキテクトの人は学生レベルの話をこんなところでしません

314:Socket774
09/04/03 02:18:13 xORqlzwb
出ていないもので神学論争するのが大好きなゲハ厨が、ゲハだとすぐ落ちるから
という理由で押しかけてきたスレですから~

315:OOO
09/04/03 20:52:57 G+CtbeBP
電力・発熱が問題になってコアの拡張やクロックの伸びが鈍化して何年かたつが、
実際、アキテクチャったもんな。
アーキテクチャは面白くなくなった。

316:Socket774
09/04/03 21:09:47 d0R3l1UC
調べればネタは多くありそうだけど、
同じ分岐予測でも方式が色々あったり
仮想マルチスレッドにも色々あったり
しかし分かりにくいので盛り上がらない


317:OOO
09/04/03 21:15:09 G+CtbeBP
別に専門家が語るスレではないからな。
でも、今語るならSMP/AMPとか、インターコネクタとか、
マルチコア、SoCの電力管理技術とかだろう。
今更分岐予測語ってもなあ。ある程度のヒット率は達成しているし、
メモリは依然としてのろいし、もはや影響軽微だし。

318:Socket774
09/04/03 21:36:35 0dXlP0d+
NECエレ、先端プロセス開発の新拠点をNECセミコンダクターズ山形内に開設
URLリンク(journal.mycom.co.jp)

319:Socket774
09/04/04 16:55:48 LWOy49Zo
Ubicom IPシリーズなんかは面白そうだぞ。

【ESC SV 2009レポート】
MCUいろいろ
URLリンク(pc.watch.impress.co.jp)

320:,,・´∀`・,,)っ-●◎○
09/04/05 22:03:57 Drqasbi/
>>318
鶴岡は新たな日本版シリコンバレーの様相を見せてきましたな。

たしか慶應の研究所もここにあったっけ
(首都圏の大学だと思って入った学生かわいそーと思ったけど)

321:Socket774
09/04/06 01:38:54 JmNHTv3G
そろそろ団子のコンテストの結果が出た頃だと思って田舎から帰ってきました

322:,,・´∀`・,,)っ-○◎●
09/04/06 01:39:50 gOw9+ozd
商品なら会社に献上しましたよ

323:Socket774
09/04/06 01:54:25 JmNHTv3G
もう何が商品だったかも思い出せないがググる気はない
また田んぼ耕しに帰るわ

324:Socket774
09/04/06 06:51:01 aNd3g3K2
Cellの件か?

325:Socket774
09/04/07 03:04:34 z0QZX29d
最近、グラフェントランジスタが熱いな
未来はカーボンナノチューブじゃなくてグラフェンにアリか?

326:Socket774
09/04/07 19:56:27 JPaZRVHf
カーボンナノチューブは配線に使えるかもしれない

327:Socket774
09/04/07 20:56:27 QWMY8lEe
IBMがx86サーバの売却するという噂
ソースは日刊工業新聞

328:Socket774
09/04/07 21:09:59 OQh/BEXH
ふーん
まあ、x86サーバなんて売っても儲からないしな

329:,,・´∀`・,,)っ-○◎●
09/04/07 21:12:09 iiFWVgMc
IBMはSunを買って何をする気だね

330:Socket774
09/04/07 21:14:10 OQh/BEXH
あれ、その話は破談になったんじゃないの?

331:Socket774
09/04/07 21:14:25 XFRKl7zP
やっとItanium(Xeon?) vs. POWERのガチ競争が始まるのか?

332:,,・´∀`・,,)っ-○◎●
09/04/07 21:17:13 iiFWVgMc
URLリンク(www.itmedia.co.jp)
まだSun側が折れる可能性はあるようだね

333:Socket774
09/04/07 21:22:16 OQh/BEXH
その記事に「基本的にサーバベンダーであるSunを買収する唯一のメリットは統合による効果だ」
って書いてある気がする

334:Socket774
09/04/07 21:36:40 9ocD1kvg
>>333
>>唯一のメリットは統合による効果だ

わからん
俺にもわかるよう解説してくれ

335:Socket774
09/04/07 21:45:45 OQh/BEXH
「相手の事業を丸ごと手に入れ、それを既存の事業に追加するということだ」

336:Socket774
09/04/07 21:48:09 9ocD1kvg
ん~それは統合の効果になってない気がする
基本的にM&Aは1+1が2以上にならんとあまり意味がない

337:Socket774
09/04/07 21:49:36 OQh/BEXH
あまり意味がないから破談になったんじゃないの?

338:Socket774
09/04/07 21:58:41 pIgw82gx
>>336
部品の調達コストや営業等、各種コストは統合で下がるだろうし
シェアが落ちない前提なら1+1が2以上にはなるんじゃない?

339:Socket774
09/04/07 22:10:38 OQh/BEXH
なるほどー

340:MACオタ
09/04/09 19:31:09 2Rejm7aA
SunのCTOでSPARC開発チームを率いていたMarc Tremblay氏がMSに転職して
しまったとのこと。
URLリンク(bits.blogs.nytimes.com)
  --------------------------
  “Sun can confirm that Marc Tremblay, Sun Fellow and chief technology officer for
  Microelectronics, has decided to leave the company,” Sun said in a statement. “Rick
  Hetherington, who has served as co-CTO for Microelectronics with Tremblay, will assume
  leadership. We thank Marc for his many contributions over the last eighteen years and wish
  him all the best in his future endeavors.”

  In an e-mail, Mr. Tremblay said he has taken a position at Microsoft.
  --------------------------
Rock…どうなりますかね?
一方、プロセッサアーキテクトを集めてMSが何をやるつもりなのかも興味深いかもしれません。

341:Socket774
09/04/09 19:40:23 R2MGIHNI
x86互換CPUでも作れば面白いが。

どうせなら下位互換を完全に無視してx64専用にして効率アップして欲しい。

342:Socket774
09/04/09 20:06:13 ToJmEz2Z
時期ゲームコンソールの構想を固めてるだけじゃねえの?

343:Socket774
09/04/09 22:43:10 nyCa9bOy
久々にWindowsロゴの入ったプロセサが見られるかw

「Windows互換(笑)」



344:Socket774
09/04/10 00:51:22 D1FEsbss
SPARCってレジスタウィンドウのせいで非難囂々、性能あがらなくて
どうしようもなかったはずだが。

なぜかというとレジスタウィンドウでレジスタの内容が隠蔽されることで
投機実行を効率的に実行できないんだそうな。富士通製SPARCだと
無理矢理やってるらしいんだけど性能は芳しくないとか。

本家のSUNは未だに投機実行を実現できていないと。

345:MACオタ
09/04/11 09:47:36 1O0T2Bv8
ほぼ丸一年「NVIDIAの悪いニュースしか書かない」状態だったTheINQのCharlie "Groo" Demerjian
記者が、ちょっとだけ好意的なニュースを(笑)
タイトル通り、GT300が6月にテープアウト予定とか。
URLリンク(www.theinquirer.net)
  -----------------------
  Nvidia's GT300 is set to tape out in June.
  -----------------------

346:MACオタ
09/04/11 10:10:03 1O0T2Bv8
Freescaleは既にIBMプロセス連合に加入済みですが、旧Crolles連合(Freescale, STMicro, Philips)
自体が取り込まれていくようです。
URLリンク(www.eetimes.com)
  -----------------
  Research work will be carried out on CEA/LETI's 300-mm platform in Grenoble,
  Albany Nanotech, STMicroelectronics' facility in Crolles, and IBM's 300-mm fab in
  East Fishkill, N.Y.
  A team from CEA/LETI's will be assigned to work on the program at Albany Nanotech.
  -----------------

347:Socket774
09/04/11 10:31:51 Q2QpXG52
Intel、TSMC、IBMの3つに集約か

348:MACオタ
09/04/11 12:30:20 1O0T2Bv8
IBMは着々とスーパーコンピューティング技術を商売にして元を取る算段を進めているようです。
Blue Gene/Pを使った企業向けストリームコンピューティング"System S"をカナダの投資銀行に
納入できたとか。
URLリンク(www.theregister.co.uk)
  ------------------
  IBM started talking publicly, and very sketchily, about System S back in June 2007,
  and this week, the company announced that TD Securities, the investment banking
  arm of Toronto Dominion Bank, has taken the first prototype of the System S machine,
  which runs a bit of software that Big Blue calls InfoSphere Streams atop a BlueGene/P
  supercomputer.
  ------------------
IBMからの資料はこちら。
URLリンク(www-01.ibm.com)
URLリンク(download.boulder.ibm.com)

349:Socket774
09/04/11 13:58:28 MGQfUkhz
GT300がまた巨大チップだったらどうすっかね。

350:Socket774
09/04/11 14:51:25 RH+qwet7
>>348
それで何に使うの?

351:,,・´∀`・,,)っ-●◎○
09/04/11 17:20:16 4rAUMB1v
>>349
命名規則がこけおどし過ぎて期待できない。
GT100(GeForce8)シリーズからGT200シリーズで倍精度が追加されたり、1SMあたりのレジスタが倍増したり
いくつかの改良は加えられてるが基本マイナーチェンジ。そして何も中身変わってない製品をひたすらリネーム。

いくらマイナーチェンジしてもDX10.1には対応せず。ATI主導の規格だから蹴ったってのが本音だろうけど
DX11には対応しないなんて選択肢はないんだぜっと。

ところでLarrabeeはNVIDIAの「Compute Capability」換算で5.0くらいくらいはあるんじゃないかと思っている。

352:Socket774
09/04/11 19:07:07 uwgjJBCg
>>350
IBM’s System Sプロジェクト ⇒ InfoSphere Streams (2010年1H出荷予定)
. 米国公的機関等と共同で設計したエンタープライズ・ストリーム・コンピューティング・ソフトウェアの
プロトタイプ
. 超ハイボリューム環境での対応を想定したアルゴリズム取引の実現

MACオタのPDFのほうにゲンナリな図もあるぞw

353:Socket774
09/04/11 19:13:51 9t1nOZDq
DX10世代中のマイナーチェンジってのはしょうがないと思いますよ。
GPUのメジャーアップデートは慣例的に、DXの更新と同期します。
DX11世代sm5.0ではまた複雑化しているようなので、それにあわせたSPの更新は必須でしょう。
(テッセレータをハードで積むかは、知れませんが・・)

まぁ、演算にウエイトを置いたDX11世代のGPUの姿ってのは
固定機能ラインと演算ラインが並列分離され、演算の長大レイテンシを多少解消したもの
結局はS3 Chrome400/500世代と似通ったものになりそうですが。

354:Socket774
09/04/11 19:37:47 k+t31a+A
>>351
「Compute Capability」換算で5.0って、nVidiaやATiのGPUならどのへんなの?

まあいずれにしてもララビの初号機は、消費電力的にもGPGPU能力的にも、現行の
GPUには敵わないのだろうが。

せめてGPGPU用のドライバやらユーティリティを充実させて、バンドルするくらい
の事をすれば、一部のマニアやユーザーには歓迎して貰えそうだよね。

355:,,・´∀`・,,)っ-○◎●
09/04/11 20:06:23 4rAUMB1v
まんま、CUDAにおける演算機能の柔軟性だよ。
んで、CUDAではベクトルユニットに無理矢理皮を被せてスカラっぽく見せてるが故に
様々な機能的な制約があるように見えてしまう。
GPGPUの適用範囲を広げるためCapabilityを上げると称してどんどん柔軟性を上げていくだろう

スカラ演算ユニットが追加されたりもするだろうね。

んで、CUDAのハードを「進化」させていくと理想型はまんまLarrabeeに行き着くと見られる。
GPGPUのキャパビリティを上げていくってのはそのまんま汎用化を意味する。
ごく普通のCPUのCompute CapabilityはMAXでしょうね。

356:Socket774
09/04/11 20:34:50 hE331tPP
nvidia以外はCPU屋がついてるってのは
ある意味必然なのかもね

IntelがpentiumならAMDはAm5x86でVIAはC7ベース(C5Xが望ましいが)で
larrabee互換機作っちゃいなよ

357:Socket774
09/04/11 21:10:51 RH+qwet7
>>352
ありがとう。pdfとか全く見てなかったw
BGのようなアーキテクチャをこういった用途に使うメリットがようわからん。

358:Socket774
09/04/12 07:18:44 Bir55Gha
バイオ系と同じで、独立した計算が大量にあるんじゃろ

359:MACオタ
09/04/14 07:55:16 emmzXgAm
>>256-262の流れに続いて、IBM Journal R&Dが有料化されました。
URLリンク(www.research.ibm.com)
流石、守銭奴IBM…

360:Socket774
09/04/14 14:08:25 nTNj4BWN
【レポート】市場調査会社各社の半導体ランキングから見た2008年の栄枯盛衰
URLリンク(journal.mycom.co.jp)
2008年の半導体売上高ランキング、NECエレクトロニクスが10位に返り咲き
URLリンク(www.ednjapan.com)

361:MACオタ
09/04/14 17:56:27 emmzXgAm
>>340の続報ですが、Tremblay氏の行き先についてのMicrosoftの回答。
URLリンク(www.itjungle.com)
  -------------------
  Tremblay, according to Microsoft, has been tapped to join Microsoft's Strategic
  Software/Silicon Architectures group, and will report to KD Hallman, the unit's
  general manager. "Marc will help oversee cross-company technical task forces
  and strategic direction for the company's software and semiconductor technologies,"
  Microsoft said in a statement they emailed to me.
  -------------------
『複数の海外企業にまたがるソフトウェアおよび半導体技術の開発チーム』ということは
xbox360のようなファブレスモデルによる自社ハードの開発の公算が大きいということに…

362:Socket774
09/04/14 19:38:45 99Q3oIG7
あじゃー

363:Socket774
09/04/15 11:49:50 XS0UHmik
家庭用ゲーム機って結局IBMのCPUとATIのGPUの組み合わせになる。
IBMのSUN買収騒動でPOWER継続路線だから飛び出したのか…
それともSPARC継続路線でMS行ったのか…謎w

364:Socket774
09/04/15 13:05:24 DsyAMJ2q
>>361
Xbox360の故障多発問題の原因はASICの設計不良、米市場調査会社
URLリンク(www.technobahn.com)
>通常であればASICベンダーに発注する部分をマイクロソフトが独自で設計し

365:Socket774
09/04/15 16:43:00 7zFTlU/l
国内中堅・中小企業が使用するPCサーバ、搭載CPUの7割がシングルコア
URLリンク(www.computerworld.jp)

366:Socket774
09/04/15 16:59:57 Cu3942jq
>>363
次のXBOXがSPARCだったら笑えるな。
ついでにPS4がララビーとか。

367:MACオタ>366 さん
09/04/15 20:03:18 acQvN9EB
>>366
プロセッサアーキテクトはISAそのものには大きなこだわりは無いと思いますよ。

Atomのアーキテクトも出自は元Sun(SPARC)やらMotorola(PowerPC)ですし、現在Appleで
ARMの開発をやっている面々はAlpha -> PowerPC -> ARM。ダイナミックサーキットで有名な
Intrinsity社はPowerPC -> MIPS -> PowerPC…というような感じ。

368:Socket774
09/04/16 01:25:54 7zDNPPEh
CISC(x86/68k)

RISC(SPARC/Alpha)

CRISC(PenPro/Nx686)

さて次はどうなるかね。

369:Socket774
09/04/16 11:05:12 RiFVYzY2
普通はISAはマーケティングで決まるじゃろ
アーキテクトもISAを選べるほど広い世界じゃないしな

370:Socket774
09/04/16 11:50:11 M3QxQh7q
ルネサス、NECエレと経営統合へ最終調整、早ければ月内にも合意
URLリンク(www.business-i.jp)
「ルネサスとNECエレが経営統合」報道、NECエレがコメント
URLリンク(www.itmedia.co.jp)

経営統合したらプロセス開発はどーなるんだろ。現在は
NECエレ→IBMと共同開発
ルネサス→パナソニックと共同開発

371:Socket774
09/04/16 19:53:25 zoPaOPlH
エルピーダと出資元企業が一致したじゃないか。
合併してしまえ。

372:MACオタ
09/04/17 00:37:04 X2wW+2zJ
IBM一党が28nmのバルクHKMGプロセスのスケジュールを発表しています。
URLリンク(www.eetimes.com)
  --------------------
  Preliminary results working with early access partners indicate that the 28-nm
  technology platform can provide a 40 percent performance improvement and a
  more than 20 percent reduction in power -- all in a chip that is half the size --
  compared with 45-nm technology, according to IBM.
  --------------------
低電力版の設計キットは昨年12月より一部顧客に提供されており、2010より"risk production"
のレベルで量産が開始される予定とのこと。

373:Socket774
09/04/17 01:34:15 RpzJHiaP
日本語の記事
URLリンク(techon.nikkeibp.co.jp)
TSMCといい、32nmを28nmと言い張るのが最近のトレンド?

374:Socket774
09/04/17 01:37:20 hZ8Ps5ga
昔から言ったもん勝ちだよ

375:Socket774
09/04/17 01:45:19 C/3tc9MI
言ったもん勝ちではあるがIBMは32nmプロセスもちゃんと提供してるからなあ
TSMCはHKMGの採用が28nmからで32nmはあんまりって感じらしいし

376:Socket774
09/04/17 01:48:14 Usi35HCk
>CRISC
全然関係ないけど、アナリスク思い出した。

377:Socket774
09/04/17 10:37:30 QPzL4njd
Xeon 5500の性能は本物か? NS SOLが実証検証結果を公表
URLリンク(enterprise.watch.impress.co.jp)

Oracle Databaseを用いてショートトランザクション、高スループットのデータベースアクセス処理
Xeon 5300番台(Clovertown/CoreMAベース)が10000TPS
Xeon 5500番台では17000TPS
ハイパースレッディングを有効にした場合23000TPS

>>これまでも新製品が出るたびに、実証実験を行って評価を行っていたが、Xeon 5500番台の性能は正直驚いた。
>>たいてい、メーカーが発表する数値はあてにしていないのだが、実際に評価結果としてそのまま出た。特に仮想化性能は非常に興味を持った。

サーバーかなり切り崩されるなこれは…

378:Socket774
09/04/17 10:42:28 AQ/RRbQN
nsol如きの評価を鵜呑みできんけどな

379:Socket774
09/04/17 14:53:28 HM5hmMtv
45nmからSRAMセルサイズが半分て32nmじゃん
32nmにHKMGを適用すると28nmになるのか?(w
それともIBMの32nmは実は38nmなのか?

380:Socket774
09/04/18 01:15:04 rmMUulY6
>>379
32nmとか28nmとか書いてるけどどこの寸法か理解してるのか?

381:Socket774
09/04/18 01:23:30 4Jx56WBC
知ってるが、ハーフノード刻みで面積が3割減
フルノード刻みで面積が半減ってのが通例だろうに

382:Socket774
09/04/18 01:26:53 qzIQRItF
おれのちんこも量子サイズに

383:Socket774
09/04/18 01:30:49 tJ61vEvr
量子トンネル効果の問題はどうするんだろうな。HKMGで
ゲート絶縁膜分厚くすればどうにかなるのか?

384:Socket774
09/04/18 01:38:26 PfW/CDAP
SRAMセルサイズは

32nm 0.157um2
28nm 0.120um2

でちゃんと小さくなってるけどね

385:Socket774
09/04/18 01:54:49 zV0JKf2O
確か45nmでは0.25um2だか0.24um2だったがな。

386:Socket774
09/04/18 02:09:03 PfW/CDAP
性能重視か面積重視かでも違ってくるけどね
たとえばAMDはSOIで性能重視だから0.37um2だったりする

ところで0.25um2はCrolles2アライアンス組の報告じゃなかったかな?
IBM連合のバルクプロセスでは45nmのSRAMセルサイズの報告は見た覚えが無いんだけど…

387:Socket774
09/04/18 02:19:38 zV0JKf2O
>性能重視か面積重視かでも違ってくるけどね
>たとえばAMDはSOIで性能重視だから0.37um2だったりする
そんなわけで、暗黙の了解として、
IBM連合のバルクプロセス同士での比較になっているわけです?

>ところで0.25um2はCrolles2アライアンス組の報告じゃなかったかな?
だったか?正確には覚えてないな。まあでも
>The 28-nm, high-k and metal gate implementation from IBM allows for one of the industry's smallest SRAM cells at 0.120 square microns,
>(盛大に中略) is half the size -- compared with 45-nm technology, according to IBM. >>372
大差なさげ。

388:Socket774
09/04/18 02:30:54 tJ61vEvr
1セル6トランジスタだっけ。任天堂がGameCubeで宣伝してた
1TSRAMもあれ実質DRAMだし。

389:Socket774
09/04/18 02:40:37 PfW/CDAP
>>387
同じバルクプロセス同士でも面積に差が出るよ

URLリンク(journal.mycom.co.jp)
> SRAMセルの設計は要求仕様に応じて変更する。メモリセル面積が
> 0.49平方μm(密度重視)~0.68平方μm(性能重視)のセルを用意した。

390:,,・´∀`・,,)っ-○◎○
09/04/18 05:58:42 Yeeg3jSz
IntelのモバイルチームのL2は高性能なのによく詰めてるよなぁ。

391:MACオタ
09/04/18 14:28:51 8Budzu1t
>>345の続報でGT300搭載製品は10月中旬登場との事。
URLリンク(www.theinquirer.net)
  -----------------
  The big news on the GT300 is that Nvidia is promising partners will have it in mid-October.
  -----------------
Demerjian記者は『6月テープアウトで10月リリースなんて突貫過ぎだろう!』と突っ込んでますが、
おそらく6月テープアウトのリビジョンって最終サンプルレベルなんでしょうから、それ程無理が
あるスケジュールにも見えません。

392:Socket774
09/04/18 14:44:31 rmMUulY6
>>390
どっかに性能と面積のソースないかな。
独自調査?

393:Socket774
09/04/18 16:43:10 tJ61vEvr
9シリーズ以下のリネームおみくじ商品群をある程度
ばっさり整理してくれたら多少は見直すんだが。

394:MACオタ
09/04/18 19:22:33 8Budzu1t
いまさらなネタのような気もしますが、LinuxでのNehalem (Core i7)とShanghai Opteronの
ベンチマーク比較です。Phoronix.comより
URLリンク(www.phoronix.com)
URLリンク(www.phoronix.com)
- Nexuiz v2.4.2 (2560 x 1600 HDR)
 Core i7/2.66GHz: 123.30
 Dual Shanghai/2.7GHz: 88.00
 Single Shanghai/2.7GHz: 88.33
- GraphicsMagick v1.3.3 (HWB Color Space)
 Core i7/2.66GHz: 153.33
 Dual Shanghai/2.7GHz: 136.66
 Single Shanghai/2.7GHz: 119.33
- GraphicsMagick v1.3.3 (HWB Color Space)
 Core i7/2.66GHz: 153.33
 Dual Shanghai/2.7GHz: 136.66
 Single Shanghai/2.7GHz: 119.33

395:MACオタ@続き
09/04/18 19:33:10 8Budzu1t
- GraphicsMagick v1.3.3 (Local Adaptive Thresholding)
 Core i7/2.66GHz: 63.00
 Dual Shanghai/2.7GHz: 62.00
 Single Shanghai/2.7GHz: 41.00
- GraphicsMagick v1.3.3 (Resizing)
 Core i7/2.66GHz: 126.66
 Dual Shanghai/2.7GHz: 120.66
 Single Shanghai/2.7GHz: 99.00
- GraphicsMagick v1.3.3 (Sharpen)
 Core i7/2.66GHz: 62.66
 Dual Shanghai/2.7GHz: 70.00
 Single Shanghai/2.7GHz: 45.00
- ImageMagick コンパイル時間
 Core i7/2.66GHz: 62.82 sec.
 Dual Shanghai/2.7GHz: 39.01 sec.
 Single Shanghai/2.7GHz: 71.21 sec.
- パラレルBZIP2圧縮時間 (2GB ファイル圧縮)
 Core i7/2.66GHz: 120.93 sec.
 Dual Shanghai/2.7GHz: 105.15 sec.
 Single Shanghai/2.7GHz: 418.76 sec.
- LAME MP3 Encoding v3.98.2 (WAV to MP3)
 Core i7/2.66GHz: 32.41 sec.
 Dual Shanghai/2.7GHz: 36.01 sec.
 Single Shanghai/2.7GHz: 35.96 sec.


396:MACオタ@続き
09/04/18 19:37:57 8Budzu1t
- GnuPG v1.4.9 (2GB ファイル暗号化)
 Core i7/2.66GHz: 40.64 sec.
 Dual Shanghai/2.7GHz: 28.37 sec.
 Single Shanghai/2.7GHz: 37.54 sec.
- OpenSSL v0.9.8 (RSA 4096-bit)
 Core i7/2.66GHz: 191.92 /sec.
 Dual Shanghai/2.7GHz: 402.70 sec.
 Single Shanghai/2.7GHz: 201.42 sec.
- Sunflow Rendering System v0.07.2 (Global Illumination + Image Synthesis)
 Core i7/2.66GHz: 4.98 sec.
 Dual Shanghai/2.7GHz: 5.32 sec.
 Single Shanghai/2.7GHz: 8.04 sec.
- Sunflow Rendering System v0.07.2 (Global Illumination + Image Synthesis)
 Core i7/2.66GHz: 4.98 sec.
 Dual Shanghai/2.7GHz: 5.32 sec.
 Single Shanghai/2.7GHz: 8.04 sec.

397:MACオタ@ここまで
09/04/18 19:43:49 8Budzu1t
- BYTE Unix Benchmark v3.6 (Dhrystone 2)
 Core i7/2.66GHz: 14609960.30
 Dual Shanghai/2.7GHz: 10220208.50
 Single Shanghai/2.7GHz: 10183923.40
- SciMark v2.0
 Core i7/2.66GHz: 687.84 Mflops
 Dual Shanghai/2.7GHz: 445.99 Mflops
 Single Shanghai/2.7GHz: 474.17MFlops
- Fhourstones v3.1 (Complex Connect-4 Solving)
 Core i7/2.66GHz: 9217.60 Kpos/sec.
 Dual Shanghai/2.7GHz: 7360.93 Kpos/sec.
 Single Shanghai/2.7GHz: 7369.46 Kpos/sec.

Nehalemがスゴいという結論は動かないにせよ、得手不得手はあるってことで…

398:,,・´∀`・,,)っ-○◎○
09/04/19 01:29:37 fMaQCdsb
>>392
公開されてるダイサイズと、ダイフォト見た上での概算。

同じIntelの130nmでもPentium III-MとPentium Mでえらく密度が違うんだ。
それでいて消費電力下げて性能大幅うp
Eden先生は天才すぐる

399:Socket774
09/04/19 01:33:51 3LtjaSH4
>>398
違う設計チームでも使えるセルは同じじゃないのか?

400:,,・´∀`・,,)っ-○◎○
09/04/19 01:45:55 fMaQCdsb
>>399
って、思うだろ?
URLリンク(pc.watch.impress.co.jp)

これ、512kと1Mの差があるように見えるか?

ちなみにPentium Mのダイフォトはこちら
URLリンク(www.tomsguide.com)

Tualatinのダイフォトは256KB版なら見つけた
URLリンク(gecko54000.free.fr)


401:Socket774
09/04/19 02:46:33 KiKmE6O8
Intelの130nmは途中でSRAMセルサイズが小さくなってるけど

402:Socket774
09/04/19 02:57:28 3LtjaSH4
>>400
確かにその資料見る限りではTualatinのセルサイズがかなり大きめのようだな。
レイテンシもTualatinの方が悪いのか?

403:Socket774
09/04/19 03:15:56 KiKmE6O8
ところでTualatinって256KB版はキャッシュ半殺しじゃなかったっけ?
ダイは512KB版しか存在しないんじゃ

404:Socket774
09/04/19 03:21:46 wHhNlIv2
  80平方mm  4400万トランジスタ  Tualatin    TDP 31.6W@1.4GHz
131.4平方mm   5500万トランジスタ   Northwood   TDP 53.2W@1.6GHz
 82.8平方mm  7700万トランジスタ  Banias     TDP 24.5W@1.7GHz

URLリンク(pc.watch.impress.co.jp)
> 0.18μmのTimnaのCPUコアは、0.25μmのKatmaiのCPUコアの
> 30%にまで縮小したという。通常の縮小率は50%程度なので、
> これは常識を越えた大幅なCPUコアの縮小となる。
URLリンク(www.watch.impress.co.jp)
> 「Special Sizing Techniques」と呼ぶ技術で、回路レベルから見直してダイを
> 縮小している。同チームは、以前、キャンセルになった統合CPU「Timna」を
> 開発した。2000年秋のIDFで、TimnaのアーキテクトであるIlan Spillinger氏は、
> ゲートサイズを減らすことで各セル(機能ブロック)の面積を20%減らし、
> 複数のセルを統合化したり、各セルの上にブロック間の配線レイヤーを
> 配置することで配線面積も50%減らしたと説明した。
> また、そのときの説明では、こうした最適化のための新しい設計ツールも
> 開発したと言っていた。Intelの標準の社内製ツールを使わずに、ツールから
> 新規に開発することで、圧倒的なダイサイズ削減を実現したわけだ。
> 同じアプローチはBaniasにも受け継がれていると見られる。

405:Socket774
09/04/19 06:22:59 J3g5ZuIP
要は回路設計には差があるけど、セルには差はないってことか

406:Socket774
09/04/19 17:51:38 WTxUJ20v
性能と値段によってはちょっと面白そうだな。

PS3向けH.264エンコーダ「CodecSys CE-10」がNAB2009に登場
URLリンク(itpro.nikkeibp.co.jp)

具体的には,CodecSys CE-10をインストールしたパソコンとPS3を接続することで,PS3がアクセラレータとなり,
負荷の高いH.264の符号化処理を大幅に高速化できる。


407:Socket774
09/04/20 21:09:17 3vvoOkoc
ひゃっほう
URLリンク(www.bloomberg.com)

408:Socket774
09/04/20 22:09:41 srm3SuR/
SPARCオワタ

409:Socket774
09/04/20 23:37:22 17zOLAY3
富士通SPARC64は生き続けるのっ!

410:MACオタ
09/04/21 21:45:55 YGROk6Iq
NVIDIAがOpenCLドライバをリリースしたとのこと。
URLリンク(www.nvidia.com)
  -------------------
  NVIDIA Corporation, the inventor of the GPU, today announced the release of its OpenCL
  driver and software development kit (SDK) to developers participating in its OpenCL Early
  Access Program.
  -------------------
入手には開発者登録が必要な模様。
URLリンク(www.nvidia.com)

411:Socket774
09/04/21 21:47:52 xe77s57P
団子さんの出番ですね
わかります

412:,,・´∀`・,,)っ-○◎○
09/04/22 05:35:54 vvRXlxAv
要りません
言語なんて変えても本質は何も変わりませんよ

413:MACオタ
09/04/22 22:59:01 VG1i+D4D
"Blue Waters"プロジェクトではPOWER7システムの性能評価のため、cycle accurateな
シミュレータが動いているようです。
URLリンク(www.ncsa.uiuc.edu)
  ------------------
  Another important tool for the PACTs is the IBM system simulator environment
  (called Mambo). This software, which runs on an x86-compatible system, allows the
  Blue Waters team to simulate the performance of the POWER7 processor. This sneak peak
  at the Blue Waters' processor is essential to understanding how codes must be adjusted
  to use the architecture most effectively.
  
  "You can see clock tick by clock tick what will happen inside the processor," says NCSA's
  Mike Showerman.

  Details of the POWER7 architecture and the simulated performance are still confidential
  at this time.
  ------------------
このシミュレータのベースである"Mambo"ってCELL/B.E.のシミュレータと同じモノです。
URLリンク(www.alphaworks.ibm.com)
  ==================
  Internally referred to as "Mambo," the simulator delivered vital feedback at various
  stages of the Cell Broadband Engine project life cycle.
  ==================

414:MACオタ
09/04/22 23:15:28 VG1i+D4D
そのPOWER7ですが、最近のIBMのロードマップに関するプレゼン資料では、
"SMP to hundreds of cores"という文言が出てきています。
SGIのNUMAflexのように大規模クラスタを単一システムイメージに見せるためのハードウェア
的な支援機能が搭載されているようです。

415:Socket774
09/04/23 17:32:54 gRjX/8XO
URLリンク(www.itmedia.co.jp)
z売れてる?

416:Socket774
09/04/24 02:49:24 qtOIB/dj
PowerはどうかしらんがPowerPCは割り込みに順位付けが
ないのがちょっと困るな。メモリアクセスも基本的に順不同
だから「アクセスした順番通り」を期待すると痛い目に。

417:Socket774
09/04/25 14:00:47 o9v2aL7X
Come on out, Power6+, you win
URLリンク(www.theregister.co.uk)

418:MACオタ>417 さん
09/04/25 15:06:41 htb2+q9R
>>417
それ、記事の冒頭にあるようにMorgan記者の『コメント』に過ぎませんので…

419:Socket774
09/04/25 15:29:41 +qEIEcEA
それではMACオタさんのコメントも一つ

420:MACオタ>418 さん
09/04/25 17:15:22 htb2+q9R
>>419
単なる印象ではありますが…

伝統的にp-seriesとかは「新チップは社内でOSが動いています」的なコメントの後、バリデーション
に1年かけて製品が出てきています。
現段階でPOWER6+の音沙汰が無いってことは、POWER7まで何も無いような気がしています。


421:Socket774
09/04/25 17:22:18 +qEIEcEA
ありがとうございます

422:MACオタ@補足
09/04/25 17:32:00 htb2+q9R
ただし、全く準備していない訳でも無さそうなところが複雑だったりして。
例えば、こんな情報は見つかります。
URLリンク(publib.boulder.ibm.com)
URLリンク(www.redbooks.ibm.com) (p.15)
  ---------------
  Each of these nodes supports four POWER6 3.5, 4.2 or 4.7 GHz dual-core processors,
  and new POWER6 4.2 GHz dual-core processors, or POWER6+ 4.4, and 5.0 GHz four-core
  processors along with cache, memory, media, disks, I/O adapters, and power and cooling
  to create a balanced, extremely high-performance rack-mount system.
  ---------------
出すつもりが無いにしては、記述もソフトウェア的な準備も具体的という…
いずれにせよ、仕様が下記のようなモノであることは確からしいので、特に秘密も無さそうなの
ですが?
 - 45nm
 - 4-core
 - > 4GHz

423:Socket774
09/04/27 16:30:33 jq0qPYoA
いよいよ世界3位の半導体企業が誕生するお
URLリンク(www.necel.com)

424:Socket774
09/04/27 16:33:06 l/PhUWuz
来春には世界6位くらいになってるんじゃね

425:MACオタ
09/04/27 20:50:45 yuRUEY7Y
Morgan記者のこの記事
URLリンク(www.itjungle.com)
昨年暮れに発表されたコア数倍増版のPOWER Systemサーバーに搭載されていたチップが
実はPOWER6+でした…という狐につままれたような話。
  -----------------
  As it turns out, as I have come to discover, and as you almost certainly do not already
  know, some of the Power Systems machines that IBM announced last October were
  already using the Power6+ chips.
  -----------------
当時、こういう書き込みをしましたが、もう何が何やら…
スレリンク(jisaku板:257番)
  ==================
  257 名前:MACオタ 投稿日:2008/10/08(水) 07:46:16 ID:Iaqsra3B
    IBMがDunnington対抗でPOWER6搭載サーバーのミドル~ローレンジで搭載コア数を2倍にしたす。
    単純にソケット数を2倍にした模様。
    URLリンク(www-03.ibm.com)
    [中略]
    過去の情報でわ
    「POWER6+でコア倍増(MCM?)」スレリンク(jisaku板:850番)
    「実わ実装密度倍増?」スレリンク(jisaku板:45番)
    ときて、結局POWER6でソケット数倍増という形で実現しているす。POWER6+って順調に遅延
    しているか、Dunnington対抗で、製品投入を急いだという感があるす。

    ただし、ミドルレンジのPOWER570にもハイエンドと同じPOWER6/5GHzを投入しているすから、
    搭載チップ数倍増と合わせて、POWER6自体の歩留まりわ上がっていそうす。POWER6+
    登場前の在庫整理の可能性もあるので、POWER6+がいつ登場する(or しない)かわ、注目す。
  ==================

426:MACオタ
09/04/28 03:53:10 0nWC5vbA
IBMが『テレビのクイズ番組に参加できる』コンピュータを発表したそうで…
URLリンク(www-03.ibm.com)
  ----------------
  For nearly two years, IBM scientists have been working on a highly advanced Question
  Answering (QA) system, codenamed "Watson." The scientists believe that the computing
  system will be able to understand complex questions and answer with enough precision
  and speed to compete on Jeopardy!
  ----------------
The Registerによると、中身はBlue Geneだとか。
URLリンク(www.theregister.co.uk)
  ================
  While the System S and Watson QA machines use different software, they are both based
  on the same hardware. In this case, it is the BlueGene/P massively parallel supercomputer,
  which is based on a processor card that has four 850 MHz single-core PowerPC 450 chips
  and 2 GB of DDR2 main memory linked by symmetric multiprocessing.
  ================

427:,,・´∀`・,,)っ-○○○
09/04/28 20:20:42 r6CzL3qZ
>>423
数年前までルネサス単独で3位だったんだけどな

428:Socket774
09/04/29 00:08:46 jnvOUXIZ
>>427
それいうならもうちょっと前はNEC単独で世界1位だったじゃん。

429:Socket774
09/04/29 04:55:54 JuQg6DQa
ルネサスとNECが事業統合だっけ?

430:Socket774
09/04/29 15:43:17 NK7gjC0b
>>425
結局、POWER6+はデュアルコアだったってことでいいのかな?

431:Socket774
09/05/01 21:14:16 t9rrYaNy
MCMかもしれないけど4-Coreでは?
>>425にある IBM のリリースだと
(ざっくり読んだ感じだと) 「ソケットを倍増させた」とは書いてないように思う.
むしろ「4-, 8- and 16-core configurations」とあるから4コア/ソケットと推測するのが妥当だと思う


432:Socket774
09/05/01 21:49:27 wqXs7KG4
ソケットあたりって意味ではPOWER6もDCMで4コアじゃなかったっけ?

まあいいや
俺が聞きたいのチップあたりのコア数

433:Socket774
09/05/01 22:08:01 03PWw+IC
一十百千万億兆京

434:Socket774
09/05/01 22:36:55 7Yrwv4nK
>>432
>ソケットあたりって意味ではPOWER6もDCMで4コアじゃなかったっけ?
構成によって違ったと思う
最大構成の32CPUではL3$と合わせて8つのシリコンチップを1つのパッケージに押し込んでた気が
で、POWER6+?はPOWER5+でやったQCM(Quad Core Module)で、要するに単なる値下げ?

435:MACオタ
09/05/03 11:22:27 0zq6Tpag
パッケージに関して言えば、POWER6以来IBMはプロセッサパッケージのコストダウン路線に
転じています。
ハイエンドのPOWER 595ですら、CPU+eDRAM L3程度。
画像は以前こちらでも紹介した中国語のレビューサイトより。
URLリンク(imgs.ccw.com.cn)
ミドルレンジの570に至っては、L3 eDRAMすら外付け。
URLリンク(www.hwsw.hu)

手元の資料によると、昨年秋の560の発表(=POWER6+の投入)あたりからミドルレンジもモデル
でもCPU + eDRAMのMCMになっているらしいのですが、これが45nm化のほぼ唯一の恩恵
だったようで…

436:MACオタ@補足
09/05/03 11:28:56 0zq6Tpag
POWER6/POWER6+をいくつのマルチコアと呼ぶべきなのかは、IBMの呼称に従えば
『ダイあたり』換算でデュアルコアということになります。

しかしながら、IBMは上記のパッケージのコストダウンと平行してプロセッサカード(モジュール)
上のソケット密度を上げる方向でシステムのプロセッサ数を増やしています。
かつて単なるプロセッサカードに過ぎないslot 1版Pentium II/IIIやslot A版 Athlonを『CPU』と
よんで憚らなかった例を考えると、"dual core, dual chip"のプロセッサカードを採用する
POWER 570/4.2GHzモデルなどは『ソケットあたり』で性能比較する際にはクアドコアと呼んでも
良いのかもしれません。


437:MACオタ
09/05/03 12:35:06 0zq6Tpag
>>435で書いたPOWER6+のパッケージの件、写真は見つけられませんでしたが、The Registerの
記事より。
URLリンク(www.theregister.co.uk)
  ------------------
  the Power6+ packaging used this time around has 32 MB of L3 cache in the processor package.
  ------------------

438:Socket774
09/05/03 16:07:14 VGYvt3cj
>>435-437
ありがとう

ダイ写真マニアの俺としては、POWER6と6+の比較をしてみたいんだけど
6+のダイ写真はまだ出てないよね

439:Socket774
09/05/03 17:06:11 L9JyY+wp
>>436
CPUと呼んで憚らないって、どういう意味?
Z80や8086をCPUと呼ぶなんて笑止千万!てことか?いまさら?

それともパッケージ形態によって呼び方を変えろってことか?
Slot1はプロセッサカードで、FCBGAだとCPUと呼んでもいいとか?

440:Socket774
09/05/03 18:51:33 t94J9LbR
要約

Slot1もCPUって言ってたんだ、
こまけぇこたぁいいんだよ!

441:MACオタ>439 さん
09/05/03 23:52:57 0zq6Tpag
>>439
  ---------------
  Z80や8086をCPUと呼ぶなんて笑止千万!てことか?いまさら?
  ---------------
そんな大層な話じゃなくて、slot-1/slot-A世代においてPentium IIと、外付けキャッシュ
無のPGA/BGAのプロセッサとの比較を『純粋なCPU同士の性能比較』と称していたという
事例があったのですよ。

442:Socket774
09/05/04 00:15:04 xJykwgZV
>>441
ん~。
それでいうとPentiumPROのようなのはMACオタの中ではどういう区別なの?

443:MACオタ>442 さん
09/05/04 15:43:52 wON4Wxya
>>442
Pentium PROは普通にプロセッサとキャッシュのMCMでは?

話の要点は、オリジナルのPOWERのようにプロセッサ自体がマルチチップ構成だろうが、MCM
だろうが、プロセッサカード単位での販売だろうが、それ自体が問題では無いという話です。

その上で、性能比較はシステム全体を対象として行うモノで、ノーマライズの単位をソケットに
するのもが、価格にするのも、コア数にするのも、個々の目的に応じてやれば良いかと。
混同させて誤解を誘導するのがダメなのは当たり前ですが…

444:MACオタ
09/05/05 13:02:26 q7/3GqkF
ITJungleでMorgan記者がPOWER6+の顛末をまとめています。
URLリンク(www.itjungle.com)
一応、公式の説明はコレとのこと。
  ----------------------
  "With our sellers, we have been promoting this concept we call performance plus,"
  Handy explains. "You have to have performance, to be sure, but you also need
  virtualization, and energy and systems management, and lots of other things--that's
  the plus part--to make it all work. We are continuing to take share, and we didn't
  need to highlight performance."
  ----------------------
流石にこれにはMorgan記者も疑念を感じて色々推測が書かれています。

もっとも、AMDの65nmの体たらくを目の当たりにした我々には事態は自明かと。
『IBM-AMDの65nm版SOIプロセスは、リークが抑えられなくてクロックが上がらない』
本家の方も逃れられなかったようで…

445:Socket774
09/05/05 13:14:51 fzRTYD9G
Itaniumも酷いがPOWERも磐石じゃないなあ

446:MACオタ@続き
09/05/05 13:15:49 q7/3GqkF
Shanghai/Phenom IIがどんどん良くなってきたのが、今年の第1四半期末から…ということを
考えると、IBMファブ固有の問題が無いとしてもPOWER6に反映されるのは半年以上先に
なりそうです。

45nmでの改善はPOWER7に間に合えば万々歳という程度の期待でしょうか…

447:Socket774
09/05/05 14:29:58 SoeyvlKW
AMDは製造現場でやりくりしてブツの出来を上げるという
理念型としての日本企業タイプだから、IBMのようなラボ主導の米型企業が
追従できるかね。

448:Socket774
09/05/05 15:03:12 fzRTYD9G
ていうかPOWER6て45nm版出るのか

449:,,・´∀`・,,)っ-○○○
09/05/05 15:24:33 YebxnnTm
AMDはリビジョン単位でダイレイアウト変えたり大規模な追加命令の拡張入れたり生真面目だな
Intelは良くも悪くも合理的。

450:Socket774
09/05/05 15:46:19 fzRTYD9G
敵に勝ちて強を益す
独占企業Intel様の基本戦略w

451:Socket774
09/05/05 16:36:33 m0sVkGZz
POWERMac復活を硬く信じているのか・・・
MACオタは




452:,,・´∀`・,,)っ-○○○
09/05/05 17:40:11 YebxnnTm
PowerMacなんてゴミはどうでもいいよ
PA6T採用のAmigaまだか

453:,,・´∀`・,,)っ-○○○
09/05/05 17:46:08 YebxnnTm
ジョブズってAppleにおける池田犬作みたいなもんでしょ?

454:Socket774
09/05/05 18:05:33 6RPdnS/e
団子、トリップエクスポらをシェアウェアにすんのかよ
買うやつがそんないると思えんぞ

455:,,・´∀`・,,)っ-○○○
09/05/05 18:11:22 YebxnnTm
否。exploiter

456:Socket774
09/05/05 18:16:34 6RPdnS/e
exploiterってexploitする人の意で
偉い人、開発する人かと思ったら

人を食い物にする人, 搾取する人って意味じゃね~かよ
おいこら

457:MACオタ
09/05/05 21:46:27 q7/3GqkF
なぜか今現在Intel次世代スレッドでCELL/B.E.の話題で盛り上がっています(笑)

で、検索していて見つけたのですが、日本IBMのCELLセミナーのプレゼン資料から
存在がバレた次世代CELLですが、一応昨年暮れの段階でロードマップはまだ生き
ているようです。
"32ii"と"32iv"が別の頁に両方とも登場するので、手持ちの資料を適当にかき集めて
構成したプレゼンっぽいですが、2010後半には次世代が予定されている…ということで。

今回は資料が削除されると悲しいので、リンクは書かないことにします。

458:,,・´∀`・,,)っ-○○○
09/05/05 21:53:48 YebxnnTm
お前がわざわざ引き延ばして恥を晒したんだろう睾丸鞭が。

459:Socket774
09/05/06 08:36:47 CZSnZuez
なにそれきもちよさそう

460:Socket774
09/05/06 15:09:11 xaQwlPX5
折角だから次世代CELLは、Opteronとソケット互換にして欲しいよなあ。

もっと言うならララビー対抗で「x86互換コア+SPE」なんてのも面白そう。

461:,,・´∀`・,,)っ-○○○
09/05/06 17:37:36 YOaBKGUp
そしてバイトオーダーの違いの考慮に発狂wwww

462:Socket774
09/05/06 23:56:53 acoGtCWV
SPEもpowerベースである必要ないよな。in orderでSSEのサブセット載っけて。LSよりはキャッシュの方が使い易いかな。

463:MACオタ>460-461 さん
09/05/07 22:36:27 stcC2GUY
>>461-462
Roadrunnerにせよ、SpursEngineにせよ、実際にSPEをアクセラレータに使っているシステムは
ホスト側がリトルエンディアンなので、心配はいらないのでは?

464:Socket774
09/05/07 22:40:39 stcC2GUY
昨年のHot Chips以来、久しぶりに龍芯のニュースが来ています。IDG系ニュースサイトより。
URLリンク(news.idg.no)
  -----------------
  The chip powering China's petaflop computer will be the Godson 3b, an eight-core
  chip produced using a 65-nanometer process. The first version will have a clock
  speed of 1GHz, while later versions will have clock speeds above 1.5 GHz, , according
  to Hu Weiwu, head engineer for the Godson project.
  -----------------
ブレードサーバーに搭載して、中国のペタフロップス・スーパーコンピュータの建設に使用される
とか。完成予定は来年末とのこと。

465:MACオタ@訂正
09/05/07 22:41:43 stcC2GUY
>>464はハンドル入れ忘れました。


466:,,・´∀`・,,)っ-○○○
09/05/08 00:13:29 YH3MesOq
ずっと入れ忘れて良いよ

467:,,・´∀`・,,)っ-○○○
09/05/09 18:49:45 KAZA9i0l
今日もペニスくんは頭の悪さ炸裂だな。

468:MACオタ
09/05/09 20:15:45 pzhWRKtk
POWER7とBlue Watersの詳細が何とIntelの技術者の講演から明らかになるという事態に…
URLリンク(www.cs.du.edu) (p.4)
■POWER7
 - 4.04GHz@45nm
 - 8core/chip, 2-chip MCMで16core/socket
 - 4-way SMT
 - 32.3GFlops/core (Dual VSX units)
  4.04 [GHz] x 2 [way DP SIMD] x 2 [multiply-add] x 2 [num. of VSX units] = 32.3 [GFlops]
 - L1 B/F ratio: 6 (384 bytes/cycle?)
 - L3 B/F ratio: 3 (6,144 GB/s/chip?)
 - Memory B/F ratio: 0.5 (1,024GB/s/chip?)
 - Memory: IBM Pulsar buffered DIMMS
 正直な話、L1とL3のB/Fは大きすぎて、計算は1DP = 8bytesであること忘れて計算しているような
 気がします。正しくは、
  L1: 384-bit幅 (256-bit load + 128-bit store)のバスで 48-bytes/cycle
  L3: 共有オンダイeDRAMで 768 GB/s/chip
  Memory: 128 GB/s/chip
 なのではないでしょうか?

469:MACオタ@続き
09/05/09 20:24:24 pzhWRKtk
■Blue Waters全体
 - Peak: 10.06 PFlops @ 38,912 POWER7 chips
 - Linpack: 8.2 PFlops (est.)
 - Memory: 1.3 TB (total cache) / 623 TB (total memory)
 - Bandwidth: 5.0 PB/s total (3.10 PB/s STREAM (est.) )
 - Interconnect Bandwidth: 1.37 PB/s total
 - Storage: up to 1 EB
 - Storage Bandwidth: 4.38 TB/s (row), 2.02 TB/s (sustained)
プレゼン資料で引用されている元資料をたどると、電力効率はGreen500基準でおよそ
800 MFlops/Wとなります。


470:Socket774
09/05/09 20:40:04 Oq6hFhle
4GHzか~、すげーな。

471:MACオタ@ここまで
09/05/09 20:44:02 pzhWRKtk
書き忘れましたが、Blue Watersの"Total Cache"の仕様から、オンダイL3のサイズは32MBで
あろうと推測できます。

しかし、PDFのファイル名から先頃引退したIBMのFrank Soltisの講演かと思ったら、Intelの
Fort Collis研 (Itanium担当)のDon Soltis氏の講演だったというのは驚きです。各社互いに
情報収集には抜かりが無いようで…

472:Socket774
09/05/09 20:54:50 Oq6hFhle
L3が32MB・・・
これもすさまじいな。

473:Socket774
09/05/09 20:56:49 Oq6hFhle
32MBって45nmでもeDRAMでも使わないとありえないようなダイサイズにならんか?

474:MACオタ>473 さん
09/05/09 21:04:55 pzhWRKtk
>>473
>>6で書いたようにeDRAM L3であることは確認されています。
最近性能上の差別化が苦しくなっているSOI陣営ですが、FBCのeDRAMが比較的容易に
実装できる特長を生かせるでしょうか?

475:Socket774
09/05/09 21:16:06 Oq6hFhle
>>474
あ、本当だ、見過ごしてた。ありがとう。
やはりeDRAMか。アクセススピードはかなり犠牲になってるのかしら。
これの次はTSVになるんだろうな。

476:MACオタ
09/05/09 21:30:08 pzhWRKtk
>>468で推測したようにL1が2-load + 1-storeの384-bitバスだとすると、LSUが従来の2個
から3個に増えているということになりますね。
2個のままという情報しか聞いたことが無かったので、新情報なのか?勘違いなのか??


477:Socket774
09/05/10 00:58:04 6kp0zGCe
SOIは良いものだ

478:MACオタ
09/05/10 01:11:05 XufvCPVi
おりしも先週の中国のニュースサイトにはPOWER Systems担当重役のRoss Mauriや営業担当副社長の
Scott Handyの談話として、『POWER7は現在、動作するチップがIBMの研究所内で試験中であり、
POWER8の開発も始まった』という話を伝えています。
URLリンク(smb.spn.com.cn)
2010年中には無事登場しそうです。

479:Socket774
09/05/10 04:09:43 w+aolRU1
4way SMTって1チップで32スレッド走るって事か。
そんなにレイテンシ長いのかねぇ

480:Socket774
09/05/10 04:56:53 KNaMx5SK
POWER7Mac一体いくらになるんっだよw


481:Socket774
09/05/10 05:28:38 N2KVi2jS
>>468
> 4.04 [GHz] x 2 [way DP SIMD] x 2 [multiply-add] x 2 [num. of VSX units] = 32.3 [GFlops]

8flop/cycleだから

> - L1 B/F ratio: 6 (384 bytes/cycle?)
> - L3 B/F ratio: 3 (6,144 GB/s/chip?)

MACオタの計算が正しそうだね

>>476
> >>468で推測したようにL1が2-load + 1-storeの384-bitバスだとすると、LSUが従来の2個から3個に増えているということになりますね。

VSXユニットに合わせて増やしたと考えるのが合理的?

482:MACオタ
09/05/10 11:24:43 XufvCPVi
つい先日、福田昭氏がこういう記事を書いていたばかりですが、
『CPUコアベンダからの脱却 - 変貌するMIPS Technologiesの実像を探る』
URLリンク(journal.mycom.co.jp)
当のMIPSはChipideaを売り払ってしまったとのこと。
URLリンク(www.eetimes.com)
  -------------------
  MIPS Technologies Inc., which in August 2007 bought Chipidea, a Portuguese analog
  and mixed-signal IP company, announced Friday (May 8) that it has now divested its
  analog business group to Synopsys Inc. in an all-cash transaction for $22 million,
  effective immediately.
  -------------------

483:,,・´∀`・,,)っ-○◎●
09/05/10 14:13:54 3H2ak24n
>>481
甘い甘い。
ユニット自体は128bit Loadユニット+128bit Load+Storeユニットの2ウェイという可能性もあるね

第1ヒント:スカラデータのストア
第2ヒント:ロード・ストア先アドレスorオフセット算出にスカラ演算が必要

484:Socket774
09/05/10 20:00:20 WcFPbr9Z
>>481
元ソースみてるのか?
オワタの書き込みの右の数字やコメントはどっからでてきたものなのか理解不可。
小学生なら普通こう計算する。

☆メインメモリ
32.3 GF per core → 32.3*0.5 ≒ 16GB/sec per core
258.6 GF per chip → 258.6*0.5 ≒ 128GB/sec per chip
517.1 GF per socket → 517.1*0.5 ≒ 256GB/sec per socket

☆L1
32.3 GF per core → 32.3*6 ≒ 192GB/sec
以下同様に
FLOPS = Flop/sec
(Flop/sec) * (Byte) / (Flop/sec) = (Byte/sec)

485:Socket774
09/05/10 20:04:04 WcFPbr9Z
まちがった
× (Flop/sec) * (Byte) / (Flop/sec) = (Byte/sec)
○ (Flop/sec) * (Byte) / (Flop) = (Byte/sec)

486:MACオタ>団子 さん
09/05/10 20:05:54 XufvCPVi
>>483
PPC ISAにはオフセット付ロード/ストア命令も自動アドレスインクリメントのロード/ストア命令
もあるので、微妙に外しているような気もしますが、
  --------------
  128bit Loadユニット+128bit Load+Storeユニットの2ウェイ
  --------------
それでB/F比6 (実際には075?)で計算が合いますか?

487:Socket774
09/05/10 20:07:50 WcFPbr9Z
まあ、LoadとStoreの合計でB/F値算出したら、それでも十分詐称ものだが。

488:MACオタ>484 さん
09/05/10 20:12:03 XufvCPVi
>>484
  ----------------
  小学生なら普通こう計算する。
  ----------------
元ソースの何処に小学生が登場していましたっけ(笑)

489:Socket774
09/05/10 20:13:55 WcFPbr9Z
まちがった
× 小学生
○ 小学生以上

490:,,・´∀`・,,)っ-○◎●
09/05/10 21:04:40 3H2ak24n
ストアユニットはQWord Load → Blend → QWord Storeで256ビット/clk分だな(棒)


491:Socket774
09/05/10 21:06:53 WcFPbr9Z
まあ、オワタがB/Fの理解できないand計算ができない
のは別として、48Bytes/clkからのユニット構成の推測は団子案よりも
ベターですね。

492:,,・´∀`・,,)っ-○◎●
09/05/10 21:07:45 3H2ak24n
lvx/stvxの命令フォーマット見て何も思わないか?
ベースアドレス(レジスタ)+オフセット(レジスタ)だぜ?
オフセットの算出にも命令発行しなきゃいけないだろ?

少なくとも4IPC程度じゃ、384ビットの帯域は使い切れねーよ。
6IPCだな。

493:,,・´∀`・,,)っ-○◎●
09/05/10 21:16:22 3H2ak24n
俺が言っても低脳ペニスは信じないだろうからこれでも引用しようか
URLリンク(lucille.sourceforge.net)

lvxの前に必ずアドレス計算してるのがわかるだろ?


494:Socket774
09/05/10 21:18:57 N2KVi2jS
>>484
> ☆L1
> 32.3 GF per core → 32.3*6 ≒ 192GB/sec

192GB/sec ÷ 4GHz = 48bytes/clock

と484もMACオタも同じ数字を出しているので、↓のSoltisの資料の384bytes/cycleという数字はバイトとワードを間違えて計算したのでしょう。

> - L1 B/F ratio: 6 (384 bytes/cycle?)

MACオタの推測は
>>468
> 正直な話、L1とL3のB/Fは大きすぎて、計算は1DP = 8bytesであること忘れて計算しているような
> 気がします。正しくは、
>  L1: 384-bit幅 (256-bit load + 128-bit store)のバスで 48-bytes/cycle

ロードとストアを足して384bitと妙なことを言っていますが、

>>476
> >>468で推測したようにL1が2-load + 1-storeの384-bitバスだとすると、LSUが従来の2個
> から3個に増えているということになりますね。

LSUが三つといっているので、各LSUが128bit幅ということでしょう。

495:MACオタ>団子 さん
09/05/10 21:25:41 XufvCPVi
>>492
  --------------
  lvx/stvxの命令フォーマット見て何も思わないか?
  --------------
それAltivecで、VSXじゃ無いんですが(笑)
いずれにせよ定ストライドなら、アドレス演算にメモリアクセスは不要かと。

仮にアドレス演算がメモリアクセスするとしても、B/F比:6になるという
辻褄はどう計算すれば出てくるんですか?

496:,,・´∀`・,,)っ-○◎●
09/05/10 21:31:06 3H2ak24n
VSXでもロード・ストアアドレスに2つのスカラレジスタの和を使うのは同じですがw
ペニス君はどうしてそう恥に恥を重ねるの?


497:,,・´∀`・,,)っ-○◎●
09/05/10 21:34:02 3H2ak24n
まあもっともらしい解が>>490だな

128ビットLoadを2つできることには変わりないが

ペニスの遠吠え(笑)

498:Socket774
09/05/10 21:38:31 N2KVi2jS
スカラ用に一つ、VSX用に一つづつで二つの合計三つじゃないの
スカラ用も2ワード/cycle読めるから団子説でも釣りあうぞ

499:OOO
09/05/10 21:42:44 WcFPbr9Z
>>494
POWER6のLSUってStoreキュー共通だから、
実質Load x2 + Store x1 なんだよ。
128ld+128ld+128st
が一番バランス的に妥当だと思う。
ld x3はあり得ん。
Intelの次世代のアレとかなり構成的に似ていそうなのは内緒だ。

500:OOO
09/05/10 21:43:54 WcFPbr9Z
もちろんこの程度の詐称や間違いは
この手の理論値計算ではありがちで許容範囲なので
さわぐほどのものぢゃない。

501:,,・´∀`・,,)っ-○◎●
09/05/10 21:45:43 3H2ak24n
ちなみに言うと128ビットミスアラインデータを1クロックでロードするのにLoadユニットを2つ使う使うことになるね
1回のミスアラインロード命令で2つのロードユニットを使えるわけだ。
それなら4 issue程度でも2つの128ビットロードユニット・1つ128ビットストアユニットを同時に使いうる。

だが、いずれにしても「384ビット」は実効スループットじゃないな。

502:OOO
09/05/10 21:51:22 WcFPbr9Z
3 loadはあり得んとかいってみたが、
うーん、あり得なくもないかもとおもってきた。
Storeが増えるのはあり得ないが。

503:,,・´∀`・,,)っ-○◎●
09/05/10 21:54:14 3H2ak24n
2load+1storeってSandy Bridgeと同じ構成じゃないか。

たぶんLoadとStoreがポート共用だけど

504:OOO
09/05/10 21:54:29 WcFPbr9Z
でもやっぱ個人的一押しは>>499
>>468のソースが恐ろしく正確とも思えないし。

505:OOO
09/05/10 21:55:29 WcFPbr9Z
>>503
おれは今のところ非共用派だぜ。
128ld+128ld+128st
だ。

506:OOO
09/05/10 21:57:46 WcFPbr9Z
つーか、ハード的にはL1のポートはどのみち
read x2, write x1用意いなきゃいかんのだが。

507:,,・´∀`・,,)っ-○◎●
09/05/10 22:00:39 3H2ak24n
まあそうだね
実効スループットとはどうしても思えない。


508:Socket774
09/05/10 22:15:23 a4ihR9Og
>>468
Poulsonについて語れよと思ったw

509:,,・´∀`・,,)っ-○◎●
09/05/10 22:20:24 3H2ak24n
まあL1帯域だけ見れば上り下り計1024ビットのLarrabee最強だし

510:MACオタ>団子 さん
09/05/10 23:19:34 XufvCPVi
>>496
  --------------
  ペニス君はどうしてそう恥に恥を重ねるの?
  --------------
(笑)
lxvd2ux, lxvw4ux, stxvd2ux, stxvw4ux あたりで検索してみて下さい。
PPC ISAの lwzu とか lwzux とか、使ったことは無かったのですか?

511:,,・´∀`・,,)っ-○◎●
09/05/10 23:23:21 3H2ak24n
ミスアラインアクセスって典型的なマイクロコード実装の命令ですが。
ハードウェアのマニュアルくらい読みましょう


512:Socket774
09/05/10 23:39:29 nSAY0kyP
●復活しとる

513:MACオタ>団子 さん
09/05/10 23:44:30 XufvCPVi
>>511
で、どれがミスアラインアクセスの命令なんでしょう(笑)
PPC ISA 2.0.6の日本語解説は存在しませんので、PPCのを探してきましたが、例えば lwzuxの
解説はこう。
URLリンク(www.comp.tmu.ac.jp)
  ------------------
  lwzxとlwzuxも同じような関係です。lwzxでは(rA)+(rB)が計算されそれがアドレス値(EA)
  となりそこに格納されているデータがrDにロードされます。lwzuxでは(rA)+(rB)の値が
  アップデートされrAの値となります。
  ------------------
アップデート付の命令はPOWER4以降、クラックされる典型的な命令ですがアドレス演算部分では
メモリアクセスは発生しないことは理解いただけるかと。

514:MACオタ>OOO さん
09/05/10 23:56:39 XufvCPVi
>>499
  --------------
  POWER6のLSUってStoreキュー共通だから、
  実質Load x2 + Store x1 なんだよ。
  --------------
積和は最もメモリ負荷が大きい状態でLoad x 2 + Store x 1ですから、バランスはそれで最適かと
思いますが、LSUが2つしか無い以上load/storeの合計で256-bit以上は無理では?

515:OOO
09/05/11 00:17:55 48JMfKBB
>load/storeの合計で256-bit以上は無理では?
そうだよ。実行ユニット構成からみれば実際問題維持は無理だが、L1のポートとしては
read port 128b x2, write port 128bit x1
になるしかない。あとはどこまでを"理論値"に含めて計算するかの問題。
詐称といえば詐称だがってのはそういう意味。

516:OOO
09/05/11 00:20:03 48JMfKBB
もちろんキューにため込んだりして色々するので、
実際のピーク帯域としては合計384bitにはなりえます。

517:Socket774
09/05/11 00:29:17 D6cvCIbz
> そうだよ。実行ユニット構成からみれば実際問題維持は無理だが、L1のポートとしては
> read port 128b x2, write port 128bit x1
> になるしかない。

なぜ?

518:OOO
09/05/11 00:43:58 48JMfKBB
さあ?
SRAMの回路がそうだからとしかいいようがない。
読み込みも書き込みも出来るポートも作れるが、普通L1Dの常識では
同時読み込みをするためにはその分readポートを増やすしかない。
同時書き込みをするためにはその分writeポートを増やすしかない。

519:OOO
09/05/11 00:46:31 48JMfKBB
詳細は
SRAM マルチポート
あたりでググれ。

520:MACオタ
09/05/11 00:50:35 gAA67Fqz
記事のネタはレジスタになっていますが、マルチポートSRAMの解説は安藤氏の
この辺でしょうか?
URLリンク(journal.mycom.co.jp)


521:Socket774
09/05/11 01:23:26 D6cvCIbz
これはセルの話では?

522:,,・´∀`・,,)っ-○◎●
09/05/11 06:42:07 +6nB9zlc
AMDのK7パイプラインのピーク9命令なみのハッタリっぽいなぁ。
どう考えてもあのアドレッシングモードじゃ2命令以上発行出来ても持て余す可能性が高い

523:Socket774
09/05/11 11:12:01 D6cvCIbz
>>518
> 普通L1Dの常識では 同時読み込みをするためにはその分readポートを増やすしかない。

Alphaはずいぶん非常識な設計ということになりますが?



524:,,・´∀`・,,)っ-○◎●
09/05/11 20:47:12 +6nB9zlc
トレンドなんて2~3年も経てば変わることすら珍しくないのに
10年も前で終わったCPUを持ち出すのが非常識というモノだろう。
Alphaの開発チームがAMDに買われて作ったのが今日まで続く
Loadユニット・ポートが2Way構成のAthlonだよ。

525:Socket774
09/05/11 20:54:03 a1Q/xu/9
そうなんだ
K6作ったNEXGENの生き残りがやってるのかと思ってた

526:Socket774
09/05/11 21:08:34 xHGOx9SS
悪いが団子は口をはさまないでくれ

527:,,・´ё`・,,)っ-○◎●
09/05/11 21:10:33 +6nB9zlc
つーん

528:Socket774
09/05/11 21:13:08 9rFGUGaG
拗ねたってダメですよ

529:Socket774
09/05/11 21:32:27 0vDV0K4n
>>468-469

この話とはちゃうのん?

IBM's eight-core Power7 chip to clock in at 4.0GHz
URLリンク(www.theregister.co.uk)

去年の7月の記事

530:Socket774
09/05/11 21:53:53 ofVDdQgC
>>527
なんか可愛いぞ

531:MACオタ>529 さん
09/05/11 23:20:55 mjAWiBEI
>>529
  ------------------
  この話とはちゃうのん?
  ------------------
Don Soltis氏のソースが昨年5月にユタ大学で行われた講演資料ということが確認できています
ので、The Registerの記事より古いようです。
>>478で書いたように、現在動作するチップが存在するという段階ですので、一年前の段階での
資料の精度は高いと思われます。

532:Socket774
09/05/12 00:15:59 v4L31OLF
ルネサスとNECエレの事業統合は必ず失敗する
URLリンク(pc.watch.impress.co.jp)

533:Socket774
09/05/12 00:19:20 EtJAbf+G
URLリンク(www.computerworld.jp)

SPARCの黄金時代はこれからだ!

534:Socket774
09/05/12 00:23:10 g6xAXPwa
Sun株主、Oracleによる買収差し止めを求め提訴
URLリンク(www.itmedia.co.jp)

535:Socket774
09/05/12 00:32:50 R0ARZ2yj
>>531
そうじゃなくてさ

URLリンク(www.it.utah.edu)
が去年の5月の講演(そうなの?)で、7月にはThe Registerが記事にしてるんだから

> POWER7とBlue Watersの詳細が何とIntelの技術者の講演から明らかになるという事態

ってのは変じゃね?ってこと

536:Socket774
09/05/12 00:47:42 R0ARZ2yj
>>533
なんか「○○先生の次回作にご期待ください」って続けたくなるようなアオリだね

537:Socket774
09/05/12 01:34:38 A+zO6oxQ
福田さん「必ず」なんて迂闊に使うもんじゃないよ

538:Socket774
09/05/12 01:55:20 2LEo6/pP
イソプレスはじまったな。
連載陣に福田昭を入れるとは。

539:Socket774
09/05/12 03:50:58 0k+byL3W
>>532
・記事の円グラフが3Dになっているのが気になってしょうがない。
・プロダクトポートフォリオ別に見ないGartnerの半導体業界調査でのベンダー順位、
 半導体市場の伸びを元に成功を定義するのも、アジア太平洋(日本を除く)地域の
 市場規模を語っているのも根拠が粗雑に見えてしまうだけじゃないのかと。
・「目標時期と具体策が示されていなかったのはいただけない」とあるが、
 統合を完了するまでは別会社なのだから、具体的に提示してしまうと、
 偶然の一致だったとしても両者間でカルテルを結び有利な取引があった
 と疑われる恐れがあるという法律的側面への言及がないのはどうかと。

もちろん、両社の統合について指摘するような負の側面があるのは否めないけど、
世界的な半導体不況が無ければ起こり得なかった窮余の策であるのも周知の事実。
URLリンク(www.itmedia.co.jp)
定義している「成功」が、元より達成困難で不適切ではないかと。
2009年の世界半導体売上を前年比16.3%減と予測してる状況下には特に。
URLリンク(www.gartner.co.jp)

540:Socket774
09/05/12 16:11:53 nmY79wcm
NECエレ、700億円近い営業損失を計上
URLリンク(pc.watch.impress.co.jp)

541:Socket774
09/05/12 16:39:48 B2doHcKo
AMD2008年度損失額の1/4未満じゃん

542:Socket774
09/05/12 17:12:25 pK1/lAXx
nvの1/2か

543:MACオタ>535 さん
09/05/12 22:29:22 K+1AjzCB
>>535
TheRegisterはソースを明かしていないし、当該記事より遥かに詳細な情報をGoogleに
引っかかる様な形で公開してくれたのですからSoltis様々…ってことで。
  -------------------
  去年の5月の講演(そうなの?)
  -------------------
同じ場所に講演のプログラムも置いてありました。
URLリンク(www.it.utah.edu)

544:MACオタ
09/05/12 23:24:50 K+1AjzCB
SGIを買収したRackable Systemが『sgi』になっていました…というお話。
URLリンク(www.rackable.com)
まあ、当時SGIの一部だったCrayを買収したTera ComputerもCray Inc.になりましたから、
買収に要した2,500万ドルはのれん代だったということで(笑)

545:Socket774
09/05/13 07:53:46 rh/qlVeO
関係ないがCrayってかっこいい名前だよねえ

546:Socket774
09/05/13 12:59:41 4NxuLH1s
わけーのはそうでもない

547:Socket774
09/05/13 15:28:11 NVIMnL28
わけーのは粘土とか思い浮かべるかもな。

548:Socket774
09/05/13 19:15:30 127kPbOw
富士通が最速CPU開発、10年ぶり日本メーカー首位
URLリンク(www.yomiuri.co.jp)

何が世界最速なんだ?

549:Socket774
09/05/13 20:14:28 TT8PrRp+
ソケットあたりの倍精度FLOPSのようだ

550:Socket774
09/05/13 20:53:06 KSAZRUJU
理研に納品される頃にはPOWER7も出てるだろうから首位奪還は無理じゃね?
つか、SX-9のCPUは無かったことにされてる?

551:レトリック君
09/05/13 22:04:29 D7inf/r/
>>548
読売の夕刊一面にも載っていたので電車の中でつい目を通したが
提灯確定な記事だったな。アリャ

552:,,・´∀`・,,)っ-○○○
09/05/13 22:10:55 H+3wBFF6
倍精度拡張版Cellが1PPE+8SPEで4GHz出せば辛うじて抜ける数字ではある。

553:Socket774
09/05/13 22:44:22 +vQjvWQH
欧州委、米インテルに競争法違反で過去最高の制裁金
URLリンク(jp.reuters.com)

>>547
洗顔クレイから泥とかね

554:Socket774
09/05/13 23:46:06 uxIMv5Cu
旧CRAYより旧TERAのほうが燃えるぜ

555:Socket774
09/05/14 13:04:18 /hkZpWAm
>>552
たしか4GHzで動くPowerXCellのシステムってあったよな。

556:Socket774
09/05/14 13:46:33 g5oXvP3Z
NEC、日立が京速計算機プロジェクトから離脱
昨日の富士通の記事はこれが理由か

557:Socket774
09/05/14 13:46:55 /hkZpWAm
次世代スパコン:NEC、日立が開発から離脱 負担回避で
URLリンク(mainichi.jp)

富士通一社で製造されることになったと。
>>548のvenusで10PFとなると京速はおおよそ10万CPUってところだな。
消費電力は地球シミュレータの比じゃないな。

558:Socket774
09/05/14 16:41:17 DFgdwijg
>>554
いや、denelcorの方が名前はかっこいいだろ

559:Socket774
09/05/14 17:25:51 NBRGyX8n
理研がサムソンと組む日も近いなw

560:Socket774
09/05/14 17:52:14 JONvX4nK
>>559
理研がサムスンを頼らなければいけなくなるには、韓国自体が科学技術の威光を示すために、
KSLV-1をオールKOREAで打ち上げて見せる程度の簡単な実績作りが先でしょ。
URLリンク(slashdot.jp)

限定的な輸出品シェアでスパコンを語ってもしょうがなくて、設置数から言えば中国と印度かな。
URLリンク(techon.nikkeibp.co.jp)

そもそも、スパコン利用自体が著しく落ちているという話なので理研自体の存亡が怪しいかもね。
URLリンク(techon.nikkeibp.co.jp)

561:Socket774
09/05/14 18:06:59 dbxmVVHc
>>560
おまえ理研って何だと思ってるの?

562:Socket774
09/05/14 18:15:04 QnNGa7Wm
わかめちゃん?

563:Socket774
09/05/14 19:11:57 vLnHZGcK
>>558
名前の話だったか
とにかくバートンスミスはかっこいい

564:,,・´∀`・,,)っ-○○○
09/05/14 21:26:53 iuYvon+w
京速ってポートアイランドなんだよな
いつも思うけど




      神  戸  市  必  死  だ  な wwww

565:Socket774
09/05/14 21:31:48 wpjiHfEu
がんばろう神戸

566:,,・´∀`・,,)っ-○○○
09/05/14 23:06:01 iuYvon+w
京速の誘致も理研より利権の匂いがする。
いや、同じ県内に3つも空港作る神戸市長の感覚は伊達じゃねーよ。
毎日がバブル景気。

神戸大の学長が就任時に市長に挨拶に出向くとか、ありえなさ過ぎる。
※旧来国立大学長は政令指定都市長より公務員としてのランクは上です。

567:Socket774
09/05/14 23:15:10 aEycBMah
突っ込んでやると市長は公務員じゃないけどな

568:Socket774
09/05/14 23:16:04 cNBlOkPY
ポートーーーピアーー♪

569:,,・´∀`・,,)っ-○○○
09/05/14 23:27:12 iuYvon+w
>>567
国立大学の職員も今は公務員じゃないけどな
かつては人事院の俸給ランクってものがあって、市長にも俸給ランクは適用される。

県庁の上級職クラスでも国立大学の教授にはペコペコ頭を下げるくらいに階層化されてた。
と、これは親族に役人がいるから知ってる無駄知識。



570:,,・´∀`・,,)っ-○○○
09/05/14 23:28:04 iuYvon+w
>>568
犯人は~ヤス~♪

571:Socket774
09/05/14 23:38:31 aEycBMah
おたくプログラマーの団子に意外なる知識
案外インテリなんだな

572:Socket774
09/05/14 23:46:42 9bfsEHRv
ポートピア連続殺人事件の犯人くらい知ってるだろ

573:,,・´∀`・,,)っ-○○○
09/05/15 00:08:00 RvgdRoTJ
ちなみに神戸市長と神戸大学長の関係についての指摘は都内某大の教授やってる某コテハンさんの受け売り
(誰とは言わない

574:レトリック君
09/05/15 21:13:47 qSTTgJE/
いやホント半導体も日本のコンピューターも自動車産業も
曲がり角だよオッ母さん。別に俺はイイけれども。
マトリクス積のGflopsで世界一はF1相当だとか寝言は終わりにしようぜパトラッシュ

575:Socket774
09/05/15 23:36:51 j5AZl/az
貴様はクライスラーに就職しろ

576:Socket774
09/05/16 04:27:34 J1Iotty7
人類自体……と言いたいがそれはまだ先の話
曲がり角なのは現代文明だな


577:MACオタ>574-576 さん
09/05/16 12:46:40 1dwWUrwO
>>574-576
周知のように2ちゃんねるの読者は30-40代が大半で万博もノストラダムスの大予言も
バブル経済もくぐり抜けてきています。
悲観論にせよ楽観論にせよ、大騒ぎするヒトは詐欺師の類と知り尽くしていますが?

今更何を(笑)

578:MACオタ
09/05/16 13:10:52 1dwWUrwO
さて、先日発表されたPOWER6+製品のSPEC2006が公開されています。
SPEC2006int/fp共にrateのみですが、Linuxで8-coreという条件でて競合
アーキテクチャと比較してみました。
なお、POWER6+製品は全て32MB eDRAM L3をMCMで搭載しているとのこと。
■SPEC2006int_rate (8-core)
 - Nehalem/3.2GHz: 267(peak) / 248(base)
 - POWER6+/5GHz: 263(peak) / 215(base)
 - Nehalem/2.93GHz: 258(peak) / 239(base)
 - Nehalem/2.8GHz: 249(peak) / 231(base)
 - Nehalem/2.66GHz: 243(peak) / 226(base)
 - POWER6+/4.2GHz: 219(peak) / 184(base)
 - Nehalem/2.5GHz: 217(peak) / 201(base)
 - Harpertown/3.4GHz: 162(peak) / 151(base)
 - Harpertown/3.2GHz: 156(peak) / 145(base)
 - Opteron/3.1GHz: 150(peak) / 127(base)
 - Opteron/2.8GHz: 140(peak) / 116(base)


579:Socket774
09/05/16 13:13:27 6mBj1Q7z
POWER6との比較はないの?

580:Socket774
09/05/16 13:14:42 6mBj1Q7z
>>577
万博ってどれ?

581:MACオタ@続き
09/05/16 13:21:32 1dwWUrwO
■SPEC2006fp_rate (8-core)
 - POWER6+/5GHz: 216(peak) / 178(base)
 - Nehalem/3.2GHz: 207(peak) / 201(base)
 - Nehalem/2.93GHz: 200(peak) / 194(base)
 - Nehalem/2.8GHz: 194(peak) / 188(base)
 - Nehalem/2.66GHz: 191(peak) / 184(base)
 - POWER6+/4.2GHz: 184(peak) / 151(base)
 - Nehalem/2.53GHz: 169(peak) / 163(base)
 - Opteron/3.1GHz: 125(peak) / 112(base)
 - Opteron/2.8GHz: 120(peak) / 107(base)
 - Harpertown/3.4GHz: 96.4(peak) / 88.2(base)
 - Harpertown/3.2GHz: 94.0(peak) / 86.1(base)

582:MACオタ
09/05/16 13:25:52 1dwWUrwO
>>579 さん
  ---------------
  POWER6との比較はないの?
  ---------------
古めの登録が多く、コンパイラのバージョン等が違うので今回は抜きました。

>>580 さん
  ---------------
  万博ってどれ?
  ---------------
数回ありましたが、楽観↑悲観↓楽観↑の例として、適当なモノをイメージして下さい。

583:Socket774
09/05/16 14:12:38 6mBj1Q7z
> 今回は抜きました。

それは残念

> 適当なモノをイメージして下さい。

大阪万博は日本中で盛り上がったらしいとは知識では知ってるんだけど
その後大騒ぎになった万博ってあったっけ?

584:あぼーん
あぼーん
あぼーん

585:,,・´∀`・,,)っ-○○○
09/05/16 19:44:21 8w+BcN0R
万博知ってる世代なんてどんな爺だよ
新しいことは覚えらんねーだろ
いらねーよ

これからの時代を作るのは平成生まれだ。




586:あぼーん
あぼーん
あぼーん

587:あぼーん
あぼーん
あぼーん

588:あぼーん
あぼーん
あぼーん

589:MACオタ
09/05/16 21:56:08 1dwWUrwO
>>578>>581をクロックを横軸にして図示すると、NehalemのSPECベンチマークと動作
クロックの関係はTDP 80Wの"E"ラインと、95W以上の"X"や"W"ラインで依存性がくっきり
二段に分かれていることが判ります。
ターボモードの効き具合が大きく影響しているのは明らかな様で…

一方、クロック上昇に対するベンチマーク結果の伸び具合はPOWER6の方がほぼリニアに
性能向上が得られており、インオーダーコアで性能を上げるには単純にクロックを上げる
必要があり、今後クロックが大きく上げられない以上OOoEへの転換は不可避なのかもしれ
ません。

590:Socket774
09/05/17 03:56:55 P97dDPiP
っていうけどさ、かつてOoOEだったのをインオーダーに戻したんでしょ。
今更またOoOEに変えるかねえ。
R Unitはインオーダーでないと現実的ではないだろうし。

591:Socket774
09/05/17 11:13:46 zv4s9nK7
>>585
愛知万博のこと、もう忘れちゃったの?

592:Socket774
09/05/17 12:16:20 +C9X1bUK
わすれちゃうほどの爺なんだよ、許してやれ

593:Socket774
09/05/17 12:44:51 R/j+b8Su
哀・恥丘博か

594:Socket774
09/05/17 16:35:24 vO0UC4yu
>>590
ミニグラフ実用化してみないもんかねえ

595:MACオタ
09/05/17 17:27:45 JmRnghsg
>>345で書いたNVIDIA GT300ですが、予想通り『6月にテープアウト予定』は製品版の
シリコンだったようで、デバッグ用のファーストシリコンは既に社内に存在しているとTheo
ValichのBSNが伝えています。
URLリンク(www.brightsideofnews.com)(t)300-already-taped-out2c-a1-silicon-in-santa-clara.aspx
  --------------------
  According to our sources, nVidia got the silicon a while ago, meaning that the chip
  taped out between January and March. The silicon is A1, but neither of our sources
  wanted to confirm is this the final silicon or will nVidia be forced to tape out A2 silicon
  to get production-grade yields.
  --------------------
GT300の仕様は、BSNの過去記事の通りとのこと。
URLリンク(www.brightsideofnews.com)
 - 512-core
 - 512-bit GDDR5 interface (256-280 GB/s)

ただし、量産版シリコンについてはTSMCの40nmプロセスにまつわるごたごたで、順調に6月テープ
アウト、10月製品出荷とはいかないようで、最悪の場合32nm世代まで出てこない可能性があるとか。

596:Socket774
09/05/17 17:38:40 6fBjOaDj
TSMCの40nmってなんか問題があるん?

597:MACオタ>596 さん
09/05/17 17:47:36 JmRnghsg
>>596
当のTSMCが今年の第1四半期会計報告で語った通りです。
URLリンク(seekingalpha.com)
  ----------------------
  Michael McConnell - Pacific Crest Securities
  Looking at 45 or 40-nanometer, could you talk about how yields are going? There's
  been some talk that yields have been problematic early on. What percentage of
  revenue you are expecting 40-nanometer to be by the end of the year of total revenue?

  Dr. Rick Tsai
  There has been some difficulty in the yields. 40-nanometer is a difficult technology
  to manufacture. We have invested quite a bit into that technology. We have understood
  the problem, the root cause of the yield, and actually all the fixes have been implemented
  in the production line.
  ----------------------


次ページ
最新レス表示
レスジャンプ
類似スレ一覧
スレッドの検索
話題のニュース
おまかせリスト
オプション
しおりを挟む
スレッドに書込
スレッドの一覧
暇つぶし2ch